信号源的设计和制作-毕业设计.docx

上传人:大张伟 文档编号:10677586 上传时间:2021-05-30 格式:DOCX 页数:22 大小:336.58KB
返回 下载 相关 举报
信号源的设计和制作-毕业设计.docx_第1页
第1页 / 共22页
信号源的设计和制作-毕业设计.docx_第2页
第2页 / 共22页
信号源的设计和制作-毕业设计.docx_第3页
第3页 / 共22页
信号源的设计和制作-毕业设计.docx_第4页
第4页 / 共22页
信号源的设计和制作-毕业设计.docx_第5页
第5页 / 共22页
点击查看更多>>
资源描述

《信号源的设计和制作-毕业设计.docx》由会员分享,可在线阅读,更多相关《信号源的设计和制作-毕业设计.docx(22页珍藏版)》请在三一文库上搜索。

1、信号源的设计和制作学生:XX指导教师:XX内容摘要:本文介绍了信号发生器的基本原理以及工作流程,在电子信息技术 领域,经常要用到一些信号作为测量基准信号或输入信号,也就是所谓的信号源。 信号源的好坏在很大程度上决定了系统的性能,因而常称之为电子系统的“心 脏”。随着电子技术的发展,对信号源的要求越来越高,要求其输出频率高达微 波频段甚至更高,及频率分辨率达到 m级Hz甚至更小,相应频点数更多,频率 转换时间达到ns级,频谱纯度高,同时对频率的功耗、体积、重量等也有更高 的要求。要实现高性能的信号源,必须在技术手段上有新的突破。 针对以上对信 号源高性能的要求,研究和制作一款频率和分辨率更高、转

2、换时间更少、频谱纯 度更高的信号发生器成为了人们广泛关注的焦点。而基于DDSJ术的产品,可以很好的达到上述各项性能的要求,为当今科技更好更快发展提供了有效的设备基 础,正是在这样的背景下,高精度的信号发生器应运而生。关键词:信号发生器频率步进占空比2Signal source design and productionAbstract: This article describes the basic principles and workflow of the signal generator, in the field of electronic information technolog

3、y, often use some of the signal as a measurement of the reference signal or input signal, but also the so-called source. The quality of the signal source to a large extent determine the performance of the system, often called the heart of the electronic system. With the development of electronic tec

4、hnology, the signal source to the output frequency up to even higher microwave frequency bands and frequency resolution of mHzor even smaller, the corresponding frequency points more frequency switching time of the ns-level high spectral purity, frequency power, volume, weight, have higher requireme

5、nts. To achieve high-performance signal source must be a new breakthrough in technology means. The above performance requirements of the source, research and production of a frequency and a higher resolution,less conversion time, higher spectral purity of thesignal generatorhas become the focus of w

6、idespread concern. DDStechnology-based products can be good to achieve the above performance requirements of todays technology better and faster development of equipment, it is in this context, high-precision signal generator came into being.Keywords: Signal generator Frequency of stepper Duty cycle

7、前言 11 方案论证 11.1 波形产生 11.1.1 正弦波产生 21.1.2 方波产生 21.2 幅度调整 22主要电路设计与计算 22.1 系统原理总图 22.2 直接数字合成 32.2.1 DDS 的基本原理 42.3 FPGA信号发生部分 42.3.1 正弦波 52.3.2 方波 62.4 波形处理部分 62.4.1 正弦波 62.4.2 方波 72.5 FSK信号输出 72.6 单片机控制电路设计 72.6.1 主要功能介绍 82.7 调幅模块设计 92.7.1 MC1496 内部结构 92.8 幅度控制 103软件设计 111.1 软件实现思想 111.2 软件流程图 124 系

8、统调试 134.1 硬件电路调试 13iii4.1.1 调试过程 134.1.2 调试经验总结 134.2 软件调试 134.3 总调试 135 结束语 15参考文献 17IV信号源的设计和制作刖百本系统基于DDS工作原理并对累加器与地址存储器等加以优化,利用 FPGA 编程实现DDS件功能,实现了题目要求产生频率可调正弦波、占空比可调脉冲的设计目的。以单片机(AT89S51为核心,实现对波形、频率、脉冲占空比、 幅度调整等的选择与连续控制。同时,将设定的参数和相关信息通过LCD12864显示。所设计的信号发生器由振荡电路、 稳幅电路、正弦波调幅电路、电压比较电 路、脉冲波调幅电路组成。采用

9、RC振荡方式产生振荡信号,通过二极管IN4148 和运放TL082实现振荡信号稳幅,调幅之后输出正弦波信号,再经电压比较器和 调幅电路实现脉冲波的占空比和幅度的变化。 采用了多级电阻和多级双联电位器 实现频率的分段和步进。信号发生器技术发展至今,引导技术潮流的仍是外国的几大仪器公司,如日本横河、Agilent、Tektronix 等.美国的FLUK玄司的FLUKE-25型函数发生器 是现有的测试仪器中最具多样性功能的几种仪器之一,它和频率计数器组合在一 起,在任何条件下都可以给出很高的波形质量,能给出低失真的正弦波和三角波, 还能给出过冲很小的方波,其最高频率可以达到5MHZ最大输出幅度也达到

10、10Vppo国内也有不少公司已经有类似的仪器。如南京盛普仪器科技有限公司的 SPF120DDS&号发生器,华高仪器生产的 HG1600H数字合成函数/任意波形信 号发生器。1方案论证本系统需设计制作一个能产生正弦波及脉冲波的信号源。其中要求信号频率 在20HZ到20KHz(可适当扩展到100KHz范围内实现程控步进可调,步长做到 1H乙脉冲波占空比在2哌198%可可实现步长为2%勺程控调整,同时要求幅度可 调,波形性能良好。综合这些因素,可知本系统的核心在于波形的产生(包括频 率与占空比的控制)及幅度的程控。因此,方案考虑如下。1.1 波形产生1.1.1 正弦波产生方案一:采用函数发生器(如

11、MAX038产生波形,方法较为简便易行,但此方 法产生的波步长进度较低且稳定度不高。方案二:采用锁相技术,通过VCO勺频率锁定实现对波的步进及稳定性的高精度 控制,但难以使输出频率范围达到要求, 且硬件电路较为复杂不易调整,不适于 产生低频信号。方案三:采用单片机控制查表实现,单片机既作为控制器,又作为信号发生器, 节省了硬件开支,但为达到精度对单片机要求相对较高且存储空间较大。方案四:采用FPGA程实现数字式频率合成(DDS,频率带宽较宽,频率转换 时间较短,分辨率较高,可控性好。综上考虑,我们采用了方案四,实现正弦波的产生及频率控制。1.1.2 方波产生方案一:采用硬件电路直接振荡产生(如

12、 555芯片电路),产生的波频率较为稳 定,但步进调整十分复杂,不利于精确控制。方案二:采用先产生正弦波,后经比较起比较得到。这样可以提高精度,但电路较复杂,成本高,调试不方便。方案三:采用FPGAft接计数分频得到,此法在保证范围满足要求时,使步进及 占空比精度得到较大的提高,且实现要求所需硬件较低。综上,采用方案三,达到产生脉冲波及其占空比控制的目的。1.2 幅度调整方案一:利用VCA810 AD603等程控放大芯片,搭建电路实现。此法在步进调整 时较为方便,易于控制,但调整精度不足。方案二:利用DAC083城DAC080/芯片实现控制要求。电路连接较为方便,可 调整度高,精度满足要求。综

13、合考虑,取用方案二。2主要电路设计与计算2.1 系统原理总图S.岳图2.1-1系统原理总图2.2 直接数字合成(DDS直接数字合成(Direct Digital Synthesis 、DDS是一种新的频率合成 技术和信号产生的方法。直接数字频率合成器(DDSH有超高速的频率转换时 问,极高的频率分辨率和较低的相位噪声,在频率改变与调频时,DDS能够保持相位的连续,因此很容易实现频率、相位和幅度调制。止匕外,DDS技术大部分是基于数字电路技术的,具有可编程控制的突出优点。因此这种信号产生技术得到 了越来越广泛的应用,很多厂家已经生产出了DDS专用芯片,这种器件成为当今电子系统及设备中频率源的首选

14、器件。例如ANALOG DEVICE公司的AD9850就是一个可以工作在125MHz时频率的,具有10bit DAC的DDS芯片。AD9854 是一个可以 工作在300MHz时钟频率,具有I/Q 两路12bit DACDDS芯片。当 今通信系统迅速发展,软件无线电成为很热门的话题,DDS在这些系统中都成为很重要的一门技术。有兴趣的同学可以参考上面提到的两款芯片资料,了解当今DDS系统。2.2.1 DDS 的基本原理DDS的原理框图如图2.2.1-1所示。图中相位累加器可在每一个时钟周期来临时将频率控制钟字(TUNINGWOR)DM累加一次,如果记数大于2 N,则自动 溢出,而只保所决定的相位增

15、量留后面的 N位数字于累加器中。正弦查询表ROM 用于实现从相位累加器输出的相位值到正弦幅度值的转换,然后送到DAC中将正弦幅度值的数字量转变为模拟量,最后通过滤波器输出一个很纯净的正弦波信 号。频率相位累加器正弦直询表控制字(M)C ROM) 数模转换,C DAC)低通滤波器(LPF 输出时钟一 (fc)图2.2.1-1 DDS 原理框图2.2.2 DDS的各组成部分的具体参数及其相互关系作为频率彳S号源,DDS系统的输出频率范围、频率分辨率、频率稳定度、波 形的谐波失真等是我们主要关心的指标。 由于电路复杂性、价格及现有技术条件 的限制,我们不可能无限地提高这些指标, 那么这些限制关系是怎

16、样的呢?下面 我们做一些简要的分析。相位累加器的位数N、数模转换比特数n、时钟频率 f c及其稳定度、LPF的特性等是决定DDS系统指标的重要参数。事实上,我 们可以认为DDS系统是模拟信号转化成数字信号的逆过程,即是将单频正弦模拟 信号采样、量化的逆过程。单频正弦模拟信号的频率对应于 DDS8统的输出信号 频率,采样频率对应于 DDS系统的时钟频率fc,量化比特数对应于 DDS系统的 数模转换比特数no2.3 FPGA信号发生部分2.3.1 正弦波正弦波实现框图如下图所示:图2.3.1-1正弦波实现框图在此系统部分中,我们对传统DD弦生器进行了两点改进:第一点,传统直接数字频率合成器的累加器

17、采用。可溢出的L位累加器(或称相位累加器)产生正弦函数的相位变量。相位累加器每溢出一次,就代表正弦波型 的一个周期。当相位累加器为32位时最高时钟频率为40MHzt最小频率精度约为0.0093。 最小步进值的尾数不是零,最小频率四舍五约为0.0093在一定条件下,会发生两 个不同频率控制字产生同一频率正弦波的现象。为此,我们采用了循环累加器加以优化,循环累加器有以下三个特点:第一点,以循环相位累加器的溢出信号作为其后地址信号发生器的时钟,而不用累加器的高位输出作为地址信号。 这样作,既可以避免因累加器高位输出信 号不同步引起的竞争冒险问题,同时也降低了系统的复杂度,使其组成更趋于模 块化。第二

18、点,累加器加到最大值溢出后,以余值而不是零作为下一次累加的起始 值。例如,当FCW=3最大值为100时,加到99时,再加3,累加器溢出,输出一 个脉冲的同时,以2作为下一次累加的起始值,依次循环下去。这样作,可以源 头上避免因舍掉余值而引起的频率误差。第三点,循环相位累加器设置一个可调的最大值,而不是满偏值作为溢出值。 例如,当累加器的字长为4时,可以设置10而不是16作为溢出值。这样设计,可 以灵活地改变最大值,也就是累加器的模值,使得最后的步进值成为一个整数, 不需要四舍五入,从而避免在合成频率时,频率余数的产生。利用正弦函数的对称性,在存储器中只存储四分之一周期内容。 从相位累加 器输出

19、的L位中,高两位被用作确定象限,最高位正弦值的符号,次高位来决定 寻址指针递增还是递减,低L-2位用作寻址波形存储器。2.3.2 方波方波电路以DDS基础实现。为较好地对方波频率与占空比的控制调整, 将 方波一个周期分成均等的100份,用FPG碌统提供的40MHz寸钟为基准,为每 一份所需时间精确定时,通过改变定时器的定时初值便严格的控制了方波的周期 时间(即达到严格控制方波频率的目的)。在频率确定的基础上,我们再将这一 百份中的N份输出电平设为高电平,其余设为低电平,那么,占空比便被设为了 N%2.4 波形处理部分2.4.1 正弦波为了使波形较好,在D/A的选择时,我们采用了高速的DAC08

20、0宋产生正弦 波。但是从FPGA俞出的正弓数据经DAC080O马到的正弦波信号中存在阶梯干扰 并含有高频谐波,为解决这一问题,我们进行了滤波处理:第一点,因为从FPGAt出的正弦波数据存在较大的尖峰脉冲,极大地影响 了波形的质量,我们将数据均经过 75欧姆电阻后再送入D/A。第二点,对于波形中存在阶梯以及高次谐波噪声,可以通过低通滤波器加以滤除。为达到较好的滤波效果。我们将频率分为三部分,分别为0到200HN 200HZ 到20KHz以及20KHZSJ 100KH”单独进行滤波处理,滤波电路如下图 2.4.1-1 所示。.图2.4.1-1 滤波电路图正弦波中存在相位抖动。为此,决定采用相位补偿

21、如图2.4.1-2所示图2.4.1-2 相位补偿如图2.4.2 方波而对于方波,可以发现直接由FPGA成的方波在上升沿及下降沿处都有尖 峰脉冲,解决方法是在输出处串连一个电阻。 这样做在消除尖峰脉冲时避免了脉 冲波上升下降陡峭度不够,影响上升与下降时间。因此,在电阻的选取上需要尝 试,并找到最合适的,电阻值大约为几十欧姆较佳(在我们的系统中75欧最佳)。2.5 FSK信号输出由于电话线传输带宽有限,一般为 300Hz至U 3000Hz,为了通过电话线实现 远距离串行通信,一般需要使用调制解调器将数据信号变成模拟信号传输。频移 键控(FSK是一种调制方法。简单地说,就是使用两个单一频率的正弦信号

22、分 别代替数字信号的0和1。BELL202和CCITT V.23协议对正弦信号的频率和 串行通信的波特率进行了具体的规定。2.6 单片机控制电路设计AT89S51是一种带4K字节闪烁可编程可擦除只读存储器 FPEROM低电压, 高性能CMOS8微处理器,俗称单片机。该器件采用ATME麻密度非易失存储器 制造技术制造,与工业标准的 MCS-51指令集和输出管脚相兼容。由于将多功能 8位CPUf口闪烁存储器组合在单个芯片中, ATMEL勺AT89S51是一种高效微控制 器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。2.6.1 主要功能介绍P0 口: P0 口为一个8位漏级开路双向I/O

23、口,每脚可吸收8TTL门电流。当 P1 口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储 器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入 口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。P1 口: P1 口是一个内部提供上拉电阻的 8位双向I/O 口,P1 口缓冲器能接 收输出4TTL门电流。P1 口管脚写入1后,被内部上拉为高,可用作输入,P1 口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在 FLASH 编程和校验时,P1 口作为第八位地址接收。P2 口: P2 口为一个内部上拉电阻的8位双向I/O 口,P

24、2 口缓冲器可接收, 输出4个TTL门电流,当P2 口被写“1”时,其管脚被内部上拉电阻拉高,且作 为输入。并因此作为输入时,P2 口的管脚被外部拉低,将输出电流。这是由于 内部上拉的缘故。P2 口当用于外部程序存储器或16位地址外部数据存储器进行 存取时,P2口输出地址的高八位。在给出地址“ 1”时,它利用内部上拉优势, 当对外部八位地址数据存储器进行读写时,P2 口输出其特殊功能寄存器的内容。 P2 口在FLASHS程和校验时接收高八位地址信号和控制信号。P3 口: P3 口管脚是8个带内部上拉电阻的双向I/O 口,可接收输出4个TTL 门电流。当P3 口写入“1”后,它们被内部上拉为高电

25、平,并用作输入。作为输 入,由于外部下拉为低电平,P3 口将输出电流(ILL)这是由于上拉的缘故。P3 口同时为闪烁编程和编程校验接收一些控制信号。RST复位输入。当振荡器复位器件时,要保持 RST脚两个机器周期的高电 平时间。ALE/PROG当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的 地位字节。在FLASH程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时, 将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EK址上置0。此时,ALE

26、 只有在执行MOVX MOV的令是ALE才起作用。另外,该引脚被略微拉高。如果 微处理器在外部执行状态ALE禁止,置位无效。/PSEN外部程序存储器的选通信号。在由外部程序存储器取指期间,每个 机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的 /PSEN信 号将不出现。/EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH, 不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET当/EA端保持高电平时,此间内部程序存储器。在 FLASHS程期间,此引脚也用于 施加12V编程电源(VPP。2.7 调幅模块设计2.7.1 MC14

27、96内部结构振幅调制部分主要采用模拟乘法器集成芯片来实现。高频电子线路中的振幅调制,同相检波,混频,倍频,鉴频,鉴相等调制与解调的过程,都可以视为两 个信号相乘或者包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立 期间简单得多,而且性能优越。所以目前在无线通信,广播电视等方面应用较多。 集成模拟乘法器的常见产品有 MC1495/1496 LM1595/15966等。新产品有超高频 模拟乘法器AD834其带宽BW=500MHz1GHZ,AD835超高精度模拟乘法器 AD734 (其带宽BW=40MH精度为0.1%),其中后面三种也都是美国 AD公司的产品。本 系统用比较普通的MC1496

28、fe实现调幅功能,它是MOTOROLA生产的,是通信 专用IC,也是业余无线电收发讯机常用IC。下面介绍一下MC1496K片。 MC149J双平衡四象限模拟乘法器,其内部电路如图2.7.1-1所示:图2.7.1-1 MC1496 内部电路图其中,T1, T2于T3, T4组成双差分放大器,集电极负载电阻是 Rc1,Rc2。 T5, T6组成的单差分放大器用于激励 T1T4。T7, T8及其偏置电路构成恒流电 路。引脚8和10接输入电压vx, 1和4接另一输入电压vy,输出电压Vo从弓I 脚6和12输出。引脚2和3外接电阻RE对差分放大器T5, T6产生电流负反 馈,可调节乘法器的信号增益,扩展

29、输入电压Vy的线形动态范围,引脚14为负 电源端(双电源供电时)或接地端(单电源供电时),引脚5外接R5,用来调节 偏置电流I5及镜像电流IO的值。2.8 幅度控制我们选用了 8位用行D/A转换器DAC0832运放采用LF356,使步进和带宽 均满足要求。对DAC0832数据口送入幅度控制字,达到控制波形的幅度的目的: 如下图2.8-1所示。为使最终输出波形较好,可以在运放的3、6脚间如图加一个 20PF的电容。图2.8-1幅度控制图另外,由于D/A与运放的非理想线性,致使幅值在 200mv以下时有所起伏, 非预置所要的值,为此我们通过测量将此范围内的幅值依次测出再由单片机编程 给200ma下

30、部分加补偿,使之输出幅值与预置所要值一致。3软件设计3.1 软件实现思想单片机完成对AD9851的控制和人机交互。40位数据分五次发送,系统以 键盘为控制信息输入,单片机获取控制信号后处理,区别不同的状态,按照程序 流程图,对系统进行控制,以达到题目要求。修改 AD9851的频率控制享有并行 和串行两种方式,由于系统由软件调频,要求频率变化的控制迅速,故采用并行 方式控制AD9851,提高速度,实现较好的调频效果。183.2 软件流程图图3.2-1 软件流程图DD缴据传送和启动时序如图3.2-2所示:S3K_LJ_LJ_LJ_LJ_LJ_LnDATA明匚CLKrLFLFLrLrLrLrLFlF

31、O UDCFVALID DATA图3.2-2 DDS数据传送和启动时序图4系统调试根据方案设计要求,调试过程公分三大部分,硬件调试,软件调试,软件和 硬件联调。电路按模块逐个调试,各模块调试通过后在联调。程序先在最小系统 板调试,通过后在软硬联调。4.1 硬件电路调试4.1.1 调试过程高频电路抗干扰设计AD9851的时钟频率很高,对周围的电路有一定的影响, 我们采取了各种抗干扰措施。例如引线尽量短,减少交叉,尽量减少跳线,在电 源输入端都加上去藕电容,数字地与模拟地分开,信号源与地尽量隔远,实践证 明,这些措施对消除某些引脚上的毛刺及干扰噪声起到了很好的作用。将系统的各模块分开测试,调通后再

32、进行整机调试,提高调试效率。4.1.2 调试经验总结第一点,系统属于高频电路,对干扰比较敏感,所以调试时应该远离高频干 扰源。第二点,在安装元件的时候,要特别注意器件的安装。例如三极管的引脚对 应,电解电容的极性等,如果安装的时候不注意,在调试时就容易出现问题。第三点,系统设计的是多模块的,一块板到另一块板的引线,电源的正负极 性等要特别注意。解决问题的最好办法是用墨笔在板上做适当标注,这样不至于 引起混淆。第四点,由于系统应用了高频电路,设计电路时应该在电源旁边放置适当值 的电容,以减少电源对系统的干扰,数据输入输出的波形也会比较“干净”。总之,要设计出一个性能良好的系统需要注意许多问题,在

33、硬件设计的时候为减少外干扰应加入滤波。4.2 软件调试本系统的软件系统采用C编写,调试也是分模块进行,各个模块调试通过函 数调用,这样写结构明了,出错时容易查错。4.3 总调试按程序定义的各个口分别把线接好,然后把程序写进单片机控制各个模块。 依据设计要求,分别对输出波形、输出电压峰峰值、输出频率和功率放大器输出测试。测试输出电压的峰峰值时,对放大电路和AGC1路参数的适当调整,使输出 频率在010MHz间变化时能够满足 Vpp= 6V IV。测试数据如下:基本要求测试,正弦波频率范围测试,幅频特性曲线,接 50建负载,对输 出电压测试,测试数据如表4.3-1 :表4.3-1输出电压测试表设置

34、频率(Hz)实测频率(Hz)Vpp(V)100100.36.481k999.986.1210k1000016.12100k100K6.21M1.0002M6.6810M10.0003M5.313M13.0005M5.2频率稳定度测t负载为 50。,采用频率计对输出正弦波进行测试,测试数据如表4.3-2 :表4.3-2频率稳定度测试设置频率(Hz)第一次计数数值第二次计数数值第三次计数数值101010.210.1100100.1100.1100.01k1.0001k999.98999.9810k10.0000k10.0001k10.0001k100k100. 0000k100.0000k100.

35、0001k1M1.0001M1.0001M1.0001M5M5.00005M5.00004M5.00004M10M10.00002M10.00002M10.00001M表4.3-3正弦波与方波的幅度测量预设幅度实际幅度100mv100mv500mv500mv1v1v2v2v3v3v3.3v3.3v4v4v表4.3-4输出波形频率测试表波形类型预置频率实测频率波形失真误差正20HZ20HZ无0100HZ100HZ无0弦200HZ200HZ无0500HZ500HZ无01KHZ1KHZ无;0波20KHZ20KHZ无050KHZ50KHZ无;0100KHZ100KHZ无0由以上几个表可以知道,系统的稳

36、定性极好,精度高。波形产生部分是此项目的核心所在,我们选取了采用FPG喻程实现DD或术来完成它。在程序编写时,为使波形达到较佳,我们尝试了吞脉冲、软件加随 机噪声去抖、算法计算正弦值等方案,取得了一些效果,但都不太理想。最终通 过试验比较对累加器与地址存储的优化和运放 DA转换器合理的选取达到将正弦 波频率扩展到150KHz步进精度做到1HZ (最高可达0.1HZ)。各项指标到达到 课题要求,并有一定提高,从实践中验证了我们选取的方案的正确性和可行性。5结束语我们选取了采用DD眼术来完成毕业设计。为使波形达到较佳,我们尝试了 吞脉冲、软件加随机噪声去抖、算法计算正弦值等方案,取得了一些效果,但

37、都 不太理想。最终通过试验比较对累加器与地址存储的优化和运放DA转换器合理 的选取达到将正弦波频率扩展到150KHz步进精度做到1HZ (最高可达0.1HZ) 各项指标到达到课题要求,并有一定提高,从实践中验证了我们选取的方案的正 确性和可行性。本毕业设计是在指导老师悉心指导下完成的。 导师渊博的专业知识,严谨的 治学态度,精益求精的工作作风,诲人不倦的高尚师德,严以律己、宽以待人的 崇高风范,朴实无华、平易近人的人格魅力对我影响深远。使我树立了远大的学 术目标、让我明白了许多待人接物与为人处世的道理。 本毕业设计从选题到完成, 倾注了指导老师大量的心血。在此,谨向指导老师XX老师和XX老师表

38、示崇高的 敬意和衷心的感谢!参考文献:1全国电子设计竞赛组委会:全国电子设计竞赛获奖作品选集,北京理工大学出版社,2007.7, P126-P2872谢自美:电子线路设计,华中理工大学出版社,2007.6,P86-P1663夏宇闻:数字系统教程(第二版),北京航空航天大学出版社, 2008.5,P125-P2384祁才君:数字信号处理技术的算法分析与应用,机械工业出版社, 2006.3,P98-P2365马忠梅:单片机C语言应用程序设计(第三版),北京航空航天大学出版社, 2007.8,P86-P1666邱关源:现代电路理论,高等教育出版社,2001.9, P67-P1697夏路易:电路原理图

39、与电路板设计教程,希望电子出版社,2002.5, P86-P1888曾兴雯:高频电子线路,高等教育出版社,2004.12, P132-P1679樊昌信:通信原理,国防工业出版社,2010.6, P223-P28910姜志海:单片机原理及应用,电子工业出版社,2009.3, P36-P13511贺苏宁:现代通信最新技术,清华大学出版社,2000.6, P65-P15312黄智伟:全国大学生电子设计竞赛制作实训I,北京航空航天大学出版社,2007.3, P67-P12613周殿清:基础物理实验,科学出版社,2009.7, P76-P15614杨刚:电子系统设计与实践,电子工业出版社,2004.2, P86-P16615刘海成:单片机原理及测控工程应用,北京航空航天大学出版社,2008.8 ,P76-P18716柴媛媛:基于ARMF口 DDSK术的信号源设计,通信技术,2009.5,P54-P56.17施韶华:基于直接数字频率合成的高精度频率源设计,电子测量与仪器学报,2008.6 , P385-P38918王军证:基于DDS勺超声导波信号源的设计,电子测量技术,2010.8,P19-P2219吴加政:基于DDS勺信号模拟器设计,国外电子测量技术,2009.2,P67-P7020韩喜春:基于FPGA的可重构多通道DDS信号发生器,电测与仪表,2007.10,P50-P52

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 科普知识


经营许可证编号:宁ICP备18001539号-1