半导体工艺中的英语词汇.doc

上传人:韩长文 文档编号:11038215 上传时间:2021-06-20 格式:DOC 页数:11 大小:64KB
返回 下载 相关 举报
半导体工艺中的英语词汇.doc_第1页
第1页 / 共11页
半导体工艺中的英语词汇.doc_第2页
第2页 / 共11页
半导体工艺中的英语词汇.doc_第3页
第3页 / 共11页
半导体工艺中的英语词汇.doc_第4页
第4页 / 共11页
半导体工艺中的英语词汇.doc_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《半导体工艺中的英语词汇.doc》由会员分享,可在线阅读,更多相关《半导体工艺中的英语词汇.doc(11页珍藏版)》请在三一文库上搜索。

1、. AAbrupt junction 突变结 Accelerated testing 加速实验Acceptor 受主 Acceptor atom 受主原子Accumulation 积累、堆积 Accumulating contact 积累接触Accumulation region 积累区 Accumulation layer 积累层Active region 有源区 Active component 有源元Active device 有源器件 Activation 激活Activation energy 激活能 Active region 有源(放大)区Admittance 导纳 Allowe

2、d band 允带Alloy-junction device合金结器件 Aluminum(Aluminium) 铝Aluminum - oxide 铝氧化物 Aluminum passivation 铝钝化Ambipolar 双极的 Ambient temperature 环境温度Amorphous 无定形的,非晶体的 Amplifier 功放 扩音器 放大器Analogue(Analog) comparator 模拟比较器 Angstrom 埃Anneal 退火 Anisotropic 各向异性的Anode 阳极 Arsenic (AS) 砷Auger 俄歇 Auger process 俄歇

3、过程Avalanche 雪崩 Avalanche breakdown 雪崩击穿Avalanche excitation雪崩激发 BBackground carrier 本底载流子 Background doping 本底掺杂Backward 反向 Backward bias 反向偏置Ballasting resistor 整流电阻 Ball bond 球形键合Band 能带 Band gap 能带间隙Barrier 势垒 Barrier layer 势垒层Barrier width 势垒宽度 Base 基极Base contact 基区接触 Base stretching 基区扩展效应Base

4、 transit time 基区渡越时间 Base transport efficiency基区输运系数Base-width modulation基区宽度调制 Basis vector 基矢Bias 偏置 Bilateral switch 双向开关Binary code 二进制代码 Binary compound semiconductor 二元化合物半导体Bipolar 双极性的 Bipolar Junction Transistor (BJT)双极晶体管Bloch 布洛赫 Blocking band 阻挡能带Blocking contact 阻挡接触 Body - centered 体心立

5、方Body-centred cubic structure 体立心结构 Boltzmann 波尔兹曼Bond 键、键合 Bonding electron 价电子Bonding pad 键合点 Bootstrap circuit 自举电路Bootstrapped emitter follower 自举射极跟随器 Boron 硼Borosilicate glass 硼硅玻璃 Boundary condition 边界条件Bound electron 束缚电子 Breadboard 模拟板、实验板精品.Break down 击穿 Break over 转折Brillouin 布里渊 Brilloui

6、n zone 布里渊区Built-in 内建的 Build-in electric field 内建电场Bulk 体/体内 Bulk absorption 体吸收Bulk generation 体产生 Bulk recombination 体复合Burn - in 老化 Burn out 烧毁Buried channel 埋沟 Buried diffusion region 隐埋扩散区CCan 外壳 Capacitance 电容Capture cross section 俘获截面 Capture carrier 俘获载流子Carrier 载流子、载波 Carry bit 进位位Carry-in

7、 bit 进位输入 Carry-out bit 进位输出Cascade 级联 Case 管壳Cathode 阴极 Center 中心Ceramic 陶瓷(的) Channel 沟道Channel breakdown 沟道击穿 Channel current 沟道电流Channel doping 沟道掺杂 Channel shortening 沟道缩短Channel width 沟道宽度 Characteristic impedance 特征阻抗Charge 电荷、充电 Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒 Char

8、ge neutrality condition 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储Chemmical etching 化学腐蚀法 Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP) 化学机械抛光 Chip 芯片Chip yield 芯片成品率 Clamped 箝位Clamping diode 箝位二极管 Cleavage plane 解理面Clock rate 时钟频率 Clock generator 时钟发生器Clock f

9、lip-flop 时钟触发器 Close-packed structure 密堆积结构Close-loop gain 闭环增益 Collector 集电极Collision 碰撞 Compensated OP-AMP 补偿运放Common-base/collector/emitter connection 共基极/集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接Common-mode gain 共模增益 Common-mode input 共模输入Common-mode rejection ratio (CMRR) 共模抑制比Compa

10、tibility 兼容性 Compensation 补偿Compensated impurities 补偿杂质 Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)互补金属氧化物半导体场效应晶体管Complementary error function 余误差函数Computer-aided design (CAD)/test(CAT)/manufacture(CAM)

11、 计算机辅助设计/ 测试 /制造Compound Semiconductor 化合物半导体 Conductance 电导精品.Conduction band (edge) 导带(底) Conduction level/state 导带态Conductor 导体 Conductivity 电导率Configuration 组态 Conlomb 库仑Conpled Configuration Devices 结构组态 Constants 物理常数Constant energy surface 等能面 Constant-source diffusion恒定源扩散Contact 接触 Contamin

12、ation 治污Continuity equation 连续性方程 Contact hole 接触孔Contact potential 接触电势 Continuity condition 连续性条件Contra doping 反掺杂 Controlled 受控的Converter 转换器 Conveyer 传输器Copper interconnection system 铜互连系统 Couping 耦合Covalent 共阶的 Crossover 跨交Critical 临界的 Crossunder 穿交Crucible坩埚 Crystal defect/face/orientation/lat

13、tice 晶体缺陷/晶面/晶向/晶格Current density 电流密度 Curvature 曲率Cut off 截止 Current drift/dirve/sharing 电流漂移/驱动/共享Current Sense 电流取样 Curvature 弯曲Custom integrated circuit 定制集成电路 Cylindrical 柱面的Czochralshicrystal 直立单晶Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)DDangling bonds 悬挂键 Dark current 暗电流Dead time 空载时间 Debye le

14、ngth 德拜长度De.broglie 德布洛意 Decderate 减速Decibel (dB) 分贝 Decode 译码Deep acceptor level 深受主能级 Deep donor level 深施主能级Deep impurity level 深度杂质能级 Deep trap 深陷阱Defeat 缺陷Degenerate semiconductor 简并半导体 Degeneracy 简并度Degradation 退化 Degree Celsius(centigrade) /Kelvin 摄氏/开氏温度Delay 延迟 Density 密度Density of states 态密

15、度 Depletion 耗尽Depletion approximation 耗尽近似 Depletion contact 耗尽接触Depletion depth 耗尽深度 Depletion effect 耗尽效应Depletion layer 耗尽层 Depletion MOS 耗尽MOSDepletion region 耗尽区 Deposited film 淀积薄膜Deposition process 淀积工艺 Design rules 设计规则Die 芯片(复数dice) Diode 二极管Dielectric 介电的 Dielectric isolation 介质隔离Differenc

16、e-mode input 差模输入 Differential amplifier 差分放大器Differential capacitance 微分电容 Diffused junction 扩散结Diffusion 扩散 Diffusion coefficient 扩散系数精品.Diffusion constant 扩散常数 Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉Digital circuit 数字电路 Dipole domain 偶极畴Dipole layer 偶极层 Direct-co

17、upling 直接耦合Direct-gap semiconductor 直接带隙半导体 Direct transition 直接跃迁Discharge 放电 Discrete component 分立元件Dissipation 耗散 Distribution 分布Distributed capacitance 分布电容 Distributed model 分布模型Displacement 位移 Dislocation 位错Domain 畴 Donor 施主Donor exhaustion 施主耗尽 Dopant 掺杂剂Doped semiconductor 掺杂半导体 Doping conce

18、ntration 掺杂浓度Double-diffusive MOS(DMOS)双扩散MOS.Drift 漂移 Drift field 漂移电场Drift mobility 迁移率 Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化 Dose 剂量Duty cycle 工作周期 Dual-in-line package (DIP) 双列直插式封装Dynamics 动态 Dynamic characteristics 动态属性Dynamic impedance 动态阻抗EEarly effect 厄利效应 Early failure 早期失效Effective mas

19、s 有效质量 Einstein relation(ship) 爱因斯坦关系Electric Erase Programmable Read Only Memory(E2PROM) 一次性电可擦除只读存储器Electrode 电极 Electrominggratim 电迁移Electron affinity 电子亲和势 Electronic -grade 电子能Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光Electron gas 电子气 Electron-grade water 电子级纯水Electron trapping center 电子俘获

20、中心 Electron Volt (eV) 电子伏Electrostatic 静电的 Element 元素/元件/配件Elemental semiconductor 元素半导体 Ellipse 椭圆Ellipsoid 椭球 Emitter 发射极Emitter-coupled logic 发射极耦合逻辑 Emitter-coupled pair 发射极耦合对Emitter follower 射随器 Empty band 空带Emitter crowding effect 发射极集边(拥挤)效应Endurance test =life test 寿命测试 Energy state 能态Energ

21、y momentum diagram 能量-动量(E-K)图 Enhancement mode 增强型模式Enhancement MOS 增强性MOS Entefic (低)共溶的Environmental test 环境测试 Epitaxial 外延的Epitaxial layer 外延层 Epitaxial slice 外延片Expitaxy 外延 Equivalent curcuit 等效电路Equilibrium majority /minority carriers 平衡多数/少数载流子精品.Erasable Programmable ROM (EPROM)可搽取(编程)存储器Err

22、or function complement (erfc) 余误差函数Etch 刻蚀 Etchant 刻蚀剂Etching mask 抗蚀剂掩模 Excess carrier 过剩载流子Excitation energy 激发能 Excited state 激发态Exciton 激子 Extrapolation 外推法Extrinsic 非本征的 Extrinsic semiconductor 杂质半导体FFace - centered 面心立方 Fall time 下降时间Fan-in 扇入 Fan-out 扇出Fast recovery 快恢复 Fast surface states 快界

23、面态Feedback 反馈 Fermi level 费米能级Fermi-Dirac Distribution 费米-狄拉克分布 Femi potential 费米势Fick equation 菲克方程(扩散) Field effect transistor 场效应晶体管Field oxide 场氧化层 Filled band 满带Film 薄膜 Flash memory 闪烁存储器Flat band 平带 Flat pack 扁平封装Flicker noise 闪烁(变)噪声 Flip-flop toggle 触发器翻转Floating gate 浮栅 Fluoride etch 氟化氢刻蚀F

24、orbidden band 禁带 Forward bias 正向偏置Forward blocking /conducting正向阻断/导通Frequency deviation noise频率漂移噪声Frequency response 频率响应 Function 函数GGain 增益 Gallium-Arsenide(GaAs) 砷化钾Gamy ray r 射线 Gate 门、栅、控制极Gate oxide 栅氧化层 Gauss(ian) 高斯Gaussian distribution profile 高斯掺杂分布 Generation-recombination 产生-复合Geometri

25、es 几何尺寸 Germanium(Ge) 锗Graded 缓变的 Graded (gradual) channel 缓变沟道Graded junction 缓变结 Grain 晶粒Gradient 梯度 Grown junction 生长结Guard ring 保护环 Gummel-Poom model 葛谋-潘 模型Gunn - effect 狄氏效应HHardened device 辐射加固器件 Heat of formation 形成热Heat sink 散热器、热沉 Heavy/light hole band 重/轻 空穴带Heavy saturation 重掺杂 Hell - ef

26、fect 霍尔效应精品.Heterojunction 异质结 Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体High field property 高场特性High-performance MOS.( H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器 Hot carrior 热载流子Hybrid integration 混合集成IImage - force 镜象力 Impact ionization 碰撞电离I

27、mpedance 阻抗 Imperfect structure 不完整结构Implantation dose 注入剂量 Implanted ion 注入离子Impurity 杂质 Impurity scattering 杂质散射Incremental resistance 电阻增量(微分电阻) In-contact mask 接触式掩模Indium tin oxide (ITO) 铟锡氧化物 Induced channel 感应沟道Infrared 红外的 Injection 注入Input offset voltage 输入失调电压 Insulator 绝缘体Insulated Gate F

28、ET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑Integration 集成、积分 Interconnection 互连Interconnection time delay 互连延时 Interdigitated structure 交互式结构Interface 界面 Interference 干涉International system of unions国际单位制 Internally scattering 谷间散射Interpolation 内插法 Intrinsic 本征的Intrinsic semiconductor 本征半导体 Inv

29、erse operation 反向工作Inversion 反型 Inverter 倒相器Ion 离子 Ion beam 离子束Ion etching 离子刻蚀 Ion implantation 离子注入Ionization 电离 Ionization energy 电离能Irradiation 辐照 Isolation land 隔离岛Isotropic 各向同性JJunction FET(JFET) 结型场效应管 Junction isolation 结隔离Junction spacing 结间距 Junction side-wall 结侧壁LLatch up 闭锁 Lateral 横向的L

30、attice 晶格 Layout 版图Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变Leakage current (泄)漏电流 Level shifting 电平移动Life time 寿命 linearity 线性度精品.Linked bond 共价键 Liquid Nitrogen 液氮Liquidphase epitaxial growth technique 液相外延生长技术Lithography 光刻 Light Emitting Diode(LED) 发光二极管Load line

31、 or Variable 负载线 Locating and Wiring 布局布线Longitudinal 纵向的 Logic swing 逻辑摆幅Lorentz 洛沦兹 Lumped model 集总模型MMajority carrier 多数载流子 Mask 掩膜板,光刻板Mask level 掩模序号 Mask set 掩模组Mass - action law质量守恒定律 Master-slave D flip-flop主从D触发器Matching 匹配 Maxwell 麦克斯韦Mean free path 平均自由程 Meandered emitter junction梳状发射极结Me

32、an time before failure (MTBF) 平均工作时间Megeto - resistance 磁阻 Mesa 台面MESFET-Metal Semiconductor金属半导体FETMetallization 金属化 Microelectronic technique 微电子技术Microelectronics 微电子学 Millen indices 密勒指数Minority carrier 少数载流子 Misfit 失配Mismatching 失配 Mobile ions 可动离子Mobility 迁移率 Module 模块Modulate 调制 Molecular cry

33、stal分子晶体Monolithic IC 单片IC MOSFET金属氧化物半导体场效应晶体管Mos. Transistor(MOST )MOS. 晶体管 Multiplication 倍增Modulator 调制 Multi-chip IC 多芯片ICMulti-chip module(MCM) 多芯片模块 Multiplication coefficient倍增因子NNaked chip 未封装的芯片(裸片) Negative feedback 负反馈Negative resistance 负阻 Nesting 套刻Negative-temperature-coefficient 负温度系

34、数 Noise margin 噪声容限Nonequilibrium 非平衡 Nonrolatile 非挥发(易失)性Normally off/on 常闭/开 Numerical analysis 数值分析OOccupied band 满带 Officienay 功率Offset 偏移、失调 On standby 待命状态Ohmic contact 欧姆接触 Open circuit 开路Operating point 工作点 Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器Optical photon =photon 光子 Optica

35、l quenching光猝灭精品.Optical transition 光跃迁 Optical-coupled isolator光耦合隔离器Organic semiconductor有机半导体 Orientation 晶向、定向Outline 外形 Out-of-contact mask非接触式掩模Output characteristic 输出特性 Output voltage swing 输出电压摆幅Overcompensation 过补偿 Over-current protection 过流保护Over shoot 过冲 Over-voltage protection 过压保护Overl

36、ap 交迭 Overload 过载Oscillator 振荡器 Oxide 氧化物Oxidation 氧化 Oxide passivation 氧化层钝化PPackage 封装 Pad 压焊点Parameter 参数 Parasitic effect 寄生效应Parasitic oscillation 寄生振荡 Passination 钝化Passive component 无源元件 Passive device 无源器件Passive surface 钝化界面 Parasitic transistor 寄生晶体管Peak-point voltage 峰点电压 Peak voltage 峰值电

37、压Permanent-storage circuit 永久存储电路 Period 周期Periodic table 周期表 Permeable - base 可渗透基区Phase-lock loop 锁相环 Phase drift 相移Phonon spectra 声子谱Photo conduction 光电导 Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photoenic devices 光子器件 Photolithographic process 光刻工艺(photo) resist (光敏)抗腐蚀剂 Pi

38、n 管脚Pinch off 夹断 Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺 Planar transistor 平面晶体管Plasma 等离子体 Plezoelectric effect 压电效应Poisson equation 泊松方程 Point contact 点接触Polarity 极性 Polycrystal 多晶Polymer semiconductor聚合物半导体 Poly-silicon 多晶硅Potential (电)势 Potential barrier 势垒Potential well 势阱 Power d

39、issipation 功耗Power transistor 功率晶体管 Preamplifier 前置放大器Primary flat 主平面 Principal axes 主轴Print-circuit board(PCB) 印制电路板 Probability 几率Probe 探针 Process 工艺Propagation delay 传输延时 Pseudopotential method 膺势发Punch through 穿通 Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM) 脉冲宽度调制punchthrough 穿

40、通 Push-pull stage 推挽级精品.QQuality factor 品质因子 Quantization 量子化Quantum 量子 Quantum efficiency量子效应Quantum mechanics 量子力学 Quasi - Fermilevel准费米能级Quartz 石英RRadiation conductivity 辐射电导率 Radiation damage 辐射损伤Radiation flux density 辐射通量密度 Radiation hardening 辐射加固Radiation protection 辐射保护 Radiative - recombin

41、ation辐照复合Radioactive 放射性 Reach through 穿通Reactive sputtering source 反应溅射源 Read diode 里德二极管Recombination 复合 Recovery diode 恢复二极管Reciprocal lattice 倒核子 Recovery time 恢复时间Rectifier 整流器(管) Rectifying contact 整流接触Reference 基准点 基准 参考点 Refractive index 折射率Register 寄存器 Registration 对准Regulate 控制 调整 Relaxati

42、on lifetime 驰豫时间Reliability 可*性 Resonance 谐振Resistance 电阻 Resistor 电阻器Resistivity 电阻率 Regulator 稳压管(器)Relaxation 驰豫 Resonant frequency共射频率Response time 响应时间 Reverse 反向的Reverse bias 反向偏置SSampling circuit 取样电路 Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷 Saturated current range电流饱和区Saturation region 饱和区 Sa

43、turation 饱和的Scaled down 按比例缩小 Scattering 散射Schockley diode 肖克莱二极管 Schottky 肖特基Schottky barrier 肖特基势垒 Schottky contact 肖特基接触Schrodingen 薛定厄 Scribing grid 划片格Secondary flat 次平面Seed crystal 籽晶 Segregation 分凝Selectivity 选择性 Self aligned 自对准的Self diffusion 自扩散 Semiconductor 半导体Semiconductor-controlled re

44、ctifier 可控硅 Sendsitivity 灵敏度Serial 串行/串联 Series inductance 串联电感Settle time 建立时间 Sheet resistance 薄层电阻精品.Shield 屏蔽 Short circuit 短路Shot noise 散粒噪声 Shunt 分流Sidewall capacitance 边墙电容 Signal 信号Silica glass 石英玻璃 Silicon 硅Silicon carbide 碳化硅 Silicon dioxide (SiO2) 二氧化硅Silicon Nitride(Si3N4) 氮化硅 Silicon On

45、 Insulator 绝缘硅Siliver whiskers 银须 Simple cubic 简立方Single crystal 单晶 Sink 沉Skin effect 趋肤效应 Snap time 急变时间Sneak path 潜行通路 Sulethreshold 亚阈的Solar battery/cell 太阳能电池 Solid circuit 固体电路Solid Solubility 固溶度 Sonband 子带Source 源极 Source follower 源随器Space charge 空间电荷 Specific heat(PT) 热Speed-power product 速度功耗乘积 Spherical 球面的

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 科普知识


经营许可证编号:宁ICP备18001539号-1