电力电子技术调试.doc

上传人:PIYPING 文档编号:11475106 上传时间:2021-08-07 格式:DOC 页数:3 大小:100KB
返回 下载 相关 举报
电力电子技术调试.doc_第1页
第1页 / 共3页
电力电子技术调试.doc_第2页
第2页 / 共3页
电力电子技术调试.doc_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《电力电子技术调试.doc》由会员分享,可在线阅读,更多相关《电力电子技术调试.doc(3页珍藏版)》请在三一文库上搜索。

1、三相晶闸管整流电路调试方法及步骤一、按本试题中的电路图接线,先接主电路后触发电路二、设置双踪示波器中开关键三、经指导老师检查后,通电调试说明:(一)按电路图接线1. 主电路以安全导线(双圈扦孔)按图扦接线,每一扦孔只能重叠一次(二根导线)。2. 触发电路以单针导线(单圈扦孔)按图扦接线,每一扦孔只能重叠一次(二根导线)。(二)双踪示波器设置(1) 示波器初次选择设置要求1. 接通示波器电源,按下示波器“电源”开关键2. 首先将全部开关键弹出3. 选择垂直部分,按下“CH1”或“CH2”开关键,“垂直衰减开关”调至10,输入开关键选按下DC。4. 选择扫描部分,按下“自动”开关键, 将扫描选择开

2、关调至2ms5. 选择触发部分,按动开关键三次选择:“电源”。(2) 示波器寻光标方法:将辉度调至最大,然后调节“垂直移位”电位器,不见光标就调至中间位,接着调节“水平移位”电位器,寻到光标后将光标调至中心,尔后调节“辉度”与”聚焦”配合达到合适为止。(3) 示波器初次使用校验通道将垂直衰减开关调至0.1,然后短接探头正负极观察屏幕光标变化情况,然后垂直衰减开关调至至10位置。(三)通电调试第一步, 合上“三相进线电源开关”,将示波器垂直衰减开关调至10,以N点为参考点,测量L1进线电源,通过调节示波器扫描微调电位器和水平移位电位器配合,使L1正弦波为一个周期360(六格),定位在示波器屏幕上

3、(每格为60),然后分别测量L2、L3,三相应顺相序。第二步, 合上“同步变压器电源开关”,将示波器垂直衰减开关调至0.5,以公共端点为参考点,测量同步变压器付边绕组Usa、Usb、 Usc三相应顺相序。第三步, 合上“直流电源开关”,示波器垂直衰减开关调至0.5,以公共端点为参考点, 测量正负15V直流电压。 按试题要求选择“单脉冲”或“双脉冲” 然后测量Up脉冲信号是否全部正常。 以Usa同步电压正半周为参考,通过精确调节示波器扫描微调电位器和水平移位电位器配合,使Usa正弦波为一个周期360(六格),定位在示波器屏幕上(每格为60),然后测Up1脉冲定初始相位。方法:调节控制电压电位器使

4、UC=0,接着调节偏移电压电位器Ub,确定本电路输出直流电压Ud=0时脉冲初始相位。 (确定直流输出电压Ud=0时脉冲初始相位,一般以Usa同步电压正弦波为参考,测Up1脉冲来定初始相位,(4.2.1、4.2.3、4.2.5三题为 t150,4.2.2为 t330,4.2.4为 t210)。第四步,合上“整流变压器电源开关”,用示波器垂直衰减开关调至5,以付边三相绕组星点为参考点,测量付边绕组三相相序,应顺相序。以输出直流电压Ud低电位为参考点测高电位,通过调节控制电压UC电位器,使Ud波形随着不同角有序变化,按试题要求的控制角,测UVT晶闸管两端电压波形,以探头负极测晶闸管阴极为参考点,探头

5、正极测晶闸管阳极。(四)说明根据TR三相整流变压器和TS同步变压器的不同钟点数联接方法,各种晶闸管整流电路不同负载性质,确定该电路的脉冲初始相位各不相同。4.2.1试题 三相半波可控整流电路电感性负载Ud=0时脉冲初始相位=90,相当于Usa同步电压t=150处。(该电路=0时,相当于Usa同步电压正弦波t=60处)4.2.2试题 三相半波共阳极可控整流电感性负载Ud=0时,脉冲初始相位=90;相当于Usa同步电压t=330处。(该电路=0时,相当于Usa同步电压正弦波t=240处)。4.2.3试题 三相桥式全控整流电路电感性负载Ud=0时脉冲初始相位=90,相当于Usa同步电压t=150处。(该电路=0时,相当于Usa同步电压正弦波t=60处)。4.2.4试题 三相桥式半控整流电路带续流二极管电感性负载Ud=0时脉冲初始相位=180,相当于Usa同步电压 t=210处。(该电路=0时,相当于Usa同步电压正弦波t=30处)。4.2.5 试题 带平衡电抗器双反星形可控整流电路电感性负载Ud=0时脉冲初始相位=90,相当于Usa同步电压t=150处。(该电路=0时,相当于Usa同步电压正弦波t=60处)。3

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 科普知识


经营许可证编号:宁ICP备18001539号-1