基本逻辑门电路符号和口诀.docx

上传人:李医生 文档编号:11629832 上传时间:2021-08-27 格式:DOCX 页数:8 大小:102.04KB
返回 下载 相关 举报
基本逻辑门电路符号和口诀.docx_第1页
第1页 / 共8页
基本逻辑门电路符号和口诀.docx_第2页
第2页 / 共8页
基本逻辑门电路符号和口诀.docx_第3页
第3页 / 共8页
基本逻辑门电路符号和口诀.docx_第4页
第4页 / 共8页
基本逻辑门电路符号和口诀.docx_第5页
第5页 / 共8页
点击查看更多>>
资源描述

《基本逻辑门电路符号和口诀.docx》由会员分享,可在线阅读,更多相关《基本逻辑门电路符号和口诀.docx(8页珍藏版)》请在三一文库上搜索。

1、无论多么复杂的单片机电路,都是由若干基本电路单元组成的。2.2.1常用的逻辑门电路 最基本的门电路是与、或、非门,把它们适当 连接可以实现任意复杂的逻辑功能。 用小规模集成电路构成复杂逻辑电 路时,最常用的门电路是与(AND)、或(OR)、非(INV BUFF)、恒 等(BUFF)、与非(NAND)、或非(NOR)、异或(XOR)。主要是因 为这7种电路既可以完成基本逻辑功能,又具有较强的负载驱动能力, 便于完成复杂而又实用的逻辑电路设计。1 .与门与门是一个能够实现逻辑乘运算的、多端输入、单端输出的逻辑 电路,逻辑函数式:F = A B其记忆口诀为:有0出0,全1才1。2 .或门或门是一个能

2、够实现逻辑加运算的多端输入、单端输出的逻辑电 路,逻辑函数式:F = A+B其记忆口诀为:有1出1,全0才0。3 .非门实现非逻辑功能的电路称为非门,有时又叫反相缓冲器。非门只有一个输入端和一个输出端,逻辑函数式是:F =人非非门逻辑符号4.恒等门实现恒等逻辑功能的电路称为恒等门 ,又叫同 相缓冲器。恒等门只有一个输入端和一个输出端, 逻辑函数式是:F = A同相缓冲 器和反相缓冲器在数字系统中用于增强信号的驱动能力。5 .与非门与和非的复合运算称为与非运算, 逻辑函数式是:F = A.B非其 记忆口诀为:有0出1,全1才0。6 .或非门或与非的复合运算称为或非运算,逻辑函数式是:F = A+

3、B非其记忆口诀为:有1出0,全0才1。7 .异或门异或逻辑也是一种广泛应用的复合逻辑,其记忆口诀为:相同出0,不同出1。逻辑门电路是单片机外围电路运算、控制功能所必需的电路。在 单片机系统中我们经常使用集成逻辑电路(常称为集成电路)。一片集成逻辑门电路中通常含有若干个逻辑门电路,如7400为4重二输入与非门,即7400内部有4个二输入的与非门。高速CMOS74HC逻辑系列集成电路具有低功耗、宽工作电压、强抗干 扰的特性,是单片机外围通用集成电路的首选系列。随着单片机内部功 能的不断增强和硬件软件化,外部所用的逻辑门电路将越来越少。8 .门电路的国标符号与国际流行符号 常用门电路国标符号与国际流

4、行符或非或非与非异或异或斗k二态恒等施密特国标符号国际流行符号号对照如图所示2.2.2集电极开路门输出电路门电路的输出级采用集电极开路的三极 管结构,制成集电极开路门电路(OC门,Open Collector Gate)。OC与 非门的逻辑符号如图所示OC与非门逻辑符号由于OC门的输出端是开路的,即悬空,故 OC门在应用时输出端需外接一个上拉负载电阻 和电源。通过选择合适的电阻和电源电压,既可以保证输出的高、低电平合乎要求, 又可使输出端三极管的负载电流不会 过大。OC门在单片机系统中主要有两个作用: 一个是 线与另一个是驱动器。OC门在单片机系统中,还常常作为控制执行机构。利用 OC门可以控

5、 制一些较大电流的执行机构,用OC门和晶体管控制电动机的电路如图 所示。oc非门和晶体管控制电动机逻辑门电路符号图包括与门,或门,非门,同或门,异或门,还有这些门电路的 逻辑表达式,1.与逻辑(D与逻辑:当决定某一事件的所有条件都具备时,该事件才会发生(2)真值表:符号0和1分别表示低电平和高电平,将输入变量可能的取值组合状态及其对应的输出状态列成的表格表11.2与门真值表ABY0:000101100111三态门逻辑符号如下:- A BE&EN = 0, 丫为局阻状态A-B-Y瓦=o,取% =1, Y为高阻状态Amr常用逻辑门电路符号:A rnAY一与非门非门(反相器)或门或非门Y-A + BAYLr&1与或非门Y = AB + CDY=(外接集电极电C=1,阻后C=1, Y=AC=0, Y高阻C=1, 7C=0, Y高阻ABC,高阻C=0AB-C三态与非门C = o, Y-ABC = 1,高阻cL =1, Y高阻J。, E = 1, 丫高阻单击此处输入内容

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 科普知识


经营许可证编号:宁ICP备18001539号-1