单片机信号发生器设计程福定.docx

上传人:大张伟 文档编号:11729429 上传时间:2021-09-01 格式:DOCX 页数:26 大小:532.47KB
返回 下载 相关 举报
单片机信号发生器设计程福定.docx_第1页
第1页 / 共26页
单片机信号发生器设计程福定.docx_第2页
第2页 / 共26页
单片机信号发生器设计程福定.docx_第3页
第3页 / 共26页
单片机信号发生器设计程福定.docx_第4页
第4页 / 共26页
单片机信号发生器设计程福定.docx_第5页
第5页 / 共26页
点击查看更多>>
资源描述

《单片机信号发生器设计程福定.docx》由会员分享,可在线阅读,更多相关《单片机信号发生器设计程福定.docx(26页珍藏版)》请在三一文库上搜索。

1、题目:班级: 姓名: 学号:指导教师: 设计时间:单片机课程设计多功能信号发生器设计自动化071班程福定200708141董唯光2010-7-19 2010-7-23评语:成绩单片机课程设计目录1 .引言31.1 单片机概述31.2 信号发生器的分类31.3 信号发生器的用途及发展趋势 31.4 研究内容32 .设计与原理32.1 方案的比较42.2 设计原理42.3 设计思想42.4 设计功能53 .硬件设计53.1 硬件原理框图53.2 主控电路63.3 数/模转换电路63.4 按键接口电路73.5 时钟电路73.6 显示电路84 .软件设计 84.1 程序流程图94.2 波形仿真104.

2、2.1 正弦波的仿真104.2.2 三角波的仿真114.2.3 锯齿波的仿真114.2.4 方波的仿真115 .总结126 .参考文献127 .附录127.1 源程序127.2 硬件设计图2112基于单片机的信号发生器设计1 .引言1.1 单片机概述随着大规模集成电路技术的发展,中央处理器 (CPU)、随机存取存储器(RAM)、 只读存储器(ROM) (I/O)接口、定时器/计数器和串行通信接口,以及其他一些计算 机外围电路等均可集成在一块芯片上构成单片微型计算机,简称为单片机。单片机 具有体积小、成本低,性能稳定、使用寿命长等特点。其最明显的优势就是可以嵌 入到各种仪器、设备中,这是其他计算

3、机和网络都无法做到的9,1001.2 信号发生器的分类信号发生器应用广泛,种类繁多,性能各异,分类也不尽一致。按照频率范围 分类可以分为:超低频信号发生器、低频信号发生器、视频信号发生器、高频波形 发生器、甚高频波形发生器和超高频信号发生器。按照输出波形分类可以分为:正 弦信号发生器和非正弦信号发生器,非正弦信号发生器又包括:脉冲信号发生器, 函数信号发生器、扫频信号发生器、数字序列波形发生器、图形信号发生器、噪声 信号发生器等。按照信号发生器性能指标可以分为一般信号发生器和标准信号发生 器。前者指对输出信号的频率、幅度的准确度和稳定度以及波形失真等要求不高的 一类信号发生器。后者是指其输出信

4、号的频率、幅度、调制系数等在一定范围内连 续可调,并且读数准确、稳定、屏蔽良好的中、高档信号发生器5。1.3 信号发生器的用途及发展趋势信号发生器是一种常用的信号源,广泛应用于科学研究、生产实践和教学实验 等领域。特别是在通信系统的科研实验中,常常需要用到多种不同频率和相位的信 号,如正弦波、三角波、方波和锯齿波等,因此多功能信号发生器应用十分广泛。 在数字化时代的今天,经典的由模拟电路组成的信号发生器已经渐渐远离了人们, 取而代之的是电路简洁、功能多样、功耗低的数字电路。在以后的时间里,将会有 越来越多的数字化的信号发生器运用在各种科学技术领域和工程实践中,给人们的 日常生活带来更多的便利。

5、1.4 研究内容本文是做基于单片机的信号发生器的设计,将采用编程的方法来实现三角波、 锯齿波、矩形波、正弦波的发生。根据设计的要求,对各种波形的频率和幅度进行 程序的编写,并将所写程序装入单片机的程序存储器中。在程序运行中,当接收到 来自外界的命令,需要输出某种波形时再调用相应的中断服务子程序和波形发生程 序,经电路的数/模转换器和运算放大器处理后,从信号发生器的输出端口输出 2.设计与原理2.1方案的比较方案一:采用单片函数发生器(如 8038), 8038可同时产生正弦波、方波等, 而且方法简单易行,用 D/A转换器的输出来改变调制电压,也可以实现数控调整频 率,但产生信号的频率稳定度不高

6、。方案二:采用锁相式频率合成器,利用锁相环,将压控振荡器( VCO)的输出 频率锁定在所需频率上,该方案性能良好,但难以达到输出频率覆盖系数的要求, 且电路复杂。方案三:采用单片机编程的方法来实现。该方法可以通过编程的方法来控制信 号波形的频率和幅度,而且在硬件电路不变的情况下,通过改变程序来实现频率的 变换。止匕外,由于通过编程方法产生的是数字信号,所以信号的精度可以做的很高。鉴于方案一的信号频率不够稳定和方案二的电路复杂,频率覆盖系数难以达标 等缺点,所以决定采用方案三的设计方法。它不仅采用软硬件结合,软件控制硬件 的方法来实现,使得信号频率的稳定性和精度的准确性得以保证,而且它使用的几

7、种元器件都是常用的元器件,容易得到,且价格便宜,使得硬件的开销达到最省1,7。 2.2设计原理数字信号可以通过数/模转换器转换成模拟信号,因此可通过产生数字信号再转 换成模拟信号的方法来获得所需要的波形。89C51单片机本身就是一个完整的微型 计算机,具有组成微型计算机的各部分部件:中央处理器CPU、随机存取存储器RAM 只读存储器ROM、I/O接口电路、定时器/计数器以及串行通讯接口等,只要将89C51 再配置键盘及其接口、显示器及其接口、数模转换及波形输出、指示灯及其接口等 四部分,即可构成所需的波形发生器,具信号发生器构成原理框图如图2.1所示2。图2.1信号发生器原理框图89C51是整

8、个波形发生器的核心部分,通过程序的编写和执行,产生各种各样 的信号,并从键盘接收数据,进行各种功能的转换和信号幅度的调节。当数字信号 经过接口电路到达转换电路,将其转换成模拟信号也就是所需要的输出波形。2.3设计思想(1)利用单片机产生方波、正弦波、三角波和锯齿波等信号波形,信号的频率和幅 度可变。(2)将一个周期的信号分离成256个点(按X轴等分),每两点之间的时间间隔为用单片机的定时器产生,具表示式为:AT=T/256 o如果单片机的晶振为12MHz,采用定时器方式0,则定时器的初值为:X=2 13 iT/Tmec(2.1) 定时时间常数为:TL = (8192 T) /MOD256(2.

9、2)TH = (8192 T T)/256(2.3)MOD32表示除32取余数(3)正弦波的模拟信号是D/A转换器的模拟量输出,其计算公式为:Y= (A/2sin&t) +A/2(其中 A=VREF)(2.4)t=N T(N=1256)(2.5)那么对应着存放在计算机里的这一点的数据为:(sin At +1)x255(2.6)Di _(Y 255)/A (snt =552(4)一个周期被分离成256个点,对应的四种波形的256个数据存放在以TAB1-TAB4 为起始地址的存储器中。2.4设计功能(1)本方案利用8155扩展8个独立式按键,6个LED显示器。其中“ S0”号键代 表方波输出,“S

10、1”号键代表正弦波输出,“S2”号键代表三角波输出,S3号键 代表锯齿波输出。(2) “S4”号键为10Hz的频率信号,“S5”号键为100Hz的频率信号,“S6”号键为 500Hz的频率信号,“S7”号键为1KHz的频率信号,6个LED显示器输出信号的频 率值,选用共阳极LED。(3)利用两片DAC0832实现幅度可调的信号源,(其中一片用来调节幅度,另外一 片用来实现信号源的输出)。(4)频率范围:101000Hz。(5)输出波形幅度为05V。3 .硬件设计3.1 硬件原理框图硬件原理方框图如图所示3.2 主控电路AT89C51单处机内部设置两个16位可编程的定时器/计数器T0和T1,它们

11、具 有计数器方式和定时器方式两种工作方式及4种工作模式。在波形发生器中,将其作定时器使用,用它来精确地确定波形的两个采样点输出之间的延迟时间。模式 1 采用的是16位计数器,当T0或T1被允许计数后,从初值开始加计数,最高位产生 溢出时向CPU请求中断。中断系统是使处理器具有对外界异步事件的处理能力而设置的。当中央处理器CPU正在处理某件事的时候外界发生了紧急事件,要求CPU暂停当前的工作,转而去处理这个紧急事件。在波形发生器中,只用到片内定时器/计数器溢出时产生的 中断请求,即是在AT89C51输出一个波形采样点信号后,接着启动定时器,在定时 器未产生中断之前,AT89C51等待,直到定时器

12、计时结束,产生中断请求,AT89C51 响应中断,接着输出下一个采样点信号,如此循环产生所需要的信号波形6 o如图4.2所示,AT89C51从P0 口接收来自键盘的信号,并通过 P2 口输出一些 控制信号,将其输入到8155的信号控制端,用于控制其信号的输入、输出。如果有 键按下,则在读控制端会产生一个读信号,使单片机读入信号。如果有信号输出, 则在写控制端产生一个写信号,并将所要输出的信号通过8155的PB 口输出,并在数码管上显示出来。 .用J1L _ J30D0U24 &LDfl 34XTAL1PD.D/MDPD.1/AD1 PD.2/AD2XTAE2即创MOF0.5/AD5 P 口尉冏

13、R RSTPO7ZAD7Fl.OZffi R2.1MP2,2/A1D PSENP2.1/A11ALEF2.47A12EAF2 5AI3F闽4 F2.7/A15PLDP1WRXDPI 1F3 1HXDPL2 PL3P3.3/INTnP1.4P3.47TOP 1.5F3.S/TLPl.6丽PI.7P3.7/STiW8C51XI 36 DI/k DI 33UUrfluDIPAIryp37卜 口 E S22 回:18割 少/, 二中rr口 m衣吟704 3034K05加Wr.H4霓st:33 DSjK D6 3ftLKirflO*3a 也k 07 275?rD7PJVRDP&O丽P01幽ET?S7 .

14、Z,l RD !IOB22/r wFt w1。口日&K dd o2CDB2924yk DIWFP2削PB3RESETF&4OSFRO11063D 汇3522 0百26j笫%27?唠eM加ae ?-1501 工 - - -PCD FC1 ipo14 _!i , ie 4PC3PC4nnB1216 WR /FLrUPC6PC7_l 117 RO ,ID u .1 3.3 数/模转换电路由于单片机产生的是数字信号,要想得到所需要的波形,就要把数字信号转换 成模拟信号,所以该文选用价格低廉、接口简单、转换控制容易并具有8位分辨率的数模转换器 DAC0832。DAC0832主要由8位输入寄存器、8位DA

15、C寄存器、8 位D/A转换器以及输入控制电路四部分组成。但实际上, DAC0832输出的电量也不 是真正能连续可调,而是以其绝对分辨率为单位增减,是准模拟量的输出。DAC0832是电流型输出,在应用时外接运放使之成为电压型输出 2 RtldLU 11Mli 1.41. J K 1 a 1!(111.1 J L J| 4R1N!口,1R 3.U 2U 3U 1口口 T +-bUS 号 r?noWCOHOmiRz汨XFERb H(LEbidCAVREFcirHF B口 UTZ0UT1S1 60 WK,、*9F,Wk,IT1S* *I_他n 5、一T*昨 yJOOKJUNH2.JlirEILBIM

16、而0q.,PQ乂 fUr.IT1mi.力11D IQ暹 R.VP FFirhjr?RFBK*UTZGBDUT1十 *. -.tauEli_E由图可知,DAC0832的片选地址为7FFFH,当P25有效时,若P0 口向其送的 数据为00H,则U1的输出电压为0V;若P0 口向其送的数据为0FFH时,则U1 的输出电压为-5V.故当U1输出电压为0V时,由上面的公式得:Vout = - 5V.当输 出电压为-5V时,可得:Vout = +5V,所以输出波形的电压变化范围为-5V+ 5V.故 可推得,当P0所送数据为80H时,Vout为0V4。3.4 按键接口电路图4.4为键盘接口电路的原理图,图中

17、键盘和 8155的PA 口相连,AT89C51的 P0 口和8155的D0 口相连,AT89C51不断的扫描键盘,看是否有键按下,如有,则 根据相应按键作出反应。其中S0号键代表方波输出,“S1”号键代表正弦波输出, “S2”号键代表三角波输出。“S3”号键代表锯齿波输出,“S4”号键为10Hz的频率信号,“S5”号键为100Hz的频率信号,“S6”号键为500Hz的频率信号,“S7”号 键为1KHz的频率信号3。3.5 时钟电路8051单片机有两个引脚(XTAL1 , XTAL2)用于外接石英晶体和微调电容,从而构成时钟电路,其电路图如图 4.5所示。电容C1、C2对振荡频率有稳定作用,其容

18、量的选择为 30pf,振荡器选择频率 为12MHz的石英晶体。由于频率较大时,三角波、正弦波、锯齿波中每一点的延时 时间为几微秒,故延时时间还要加上指令时间才能获得较大的频率波形9。3.6显示电路显示电路是用来显示波形信号的频率, 使得整个系统更加合理,从经济的角度出 发,所以显示器件采用LED数码管显示器。而且LED数码管是采用共阳极接法,当主控端口输出一个低电平后,与其相对应的数码管即变亮,显示所需数据。其器 件模型如图所示。012 345 6 7 0123456 7 A A A 内 A 8 B B- B B B B B c c c c c c c c p p p p p pppppppp

19、 pppppppp4 .软件设计4.1 程序流程图本文中子程序的调用是通过按键的选择来实现,在取得按键相应的键值后,启 动计时器和相应的中断服务程序,再直接查询程序中预先设置的数据值,通过转换 输出相应的电压,从而形成所需的各种波形。主程序的流程图如图所示,在程序开始运行之后,首先是对8155进行初始化,之后判断信号频率值,如符合所需的频率,则重置时间常数,并通过显示器显示出 来,不符则返回。在中断结束后,还要来判断波形是否符合,如符合,则显示其频 率,不符则返回,重新判断。图为各波形子程序的流程图。如图所示,在中断服务子程序开始后,通过判断来确 定各种波形的输出,当判断选择的不是方波后,则转

20、向对正弦波的判断,如此反复 如果选择的是方波,则用查表的方法求出相应的数据,并通过 D/A转换 器将数据转换成模拟信号,形成所需波形信号。4.2 波形仿真通过前面的软、硬件设计,整个电路的设计已基本完成,下面将进行正弦波、 方波、三角波、锯齿波等波形的仿真与测试。本文中波形信号的仿真是以Proteus 6.5 这一款软件为平台,装入波形发生程序,验证硬件电路和程序的正确性。4.2.1 正弦波的仿真如图所示,此波形为幅度为5V,频率为50HZ的正弦波,是通过查表转换的方法 来实现的。而要实现其他如 100Hzi 150HZ 200Hz等频率的波形,则需要调用延时 子程序,改变波形发生的时间常数。

21、单片机课程设计4.2.2 三角波的仿真如图所示,此波形为幅度为5V,频率为50HZ的正弦波,是通过查表转换的方法 来实现的。通过调用延时子程序,改变波形发生的时间常数,实现 100Hz 150Hzi 200Hz等频率的波形。4.2.3 锯齿波的仿真如图5.5所示,此波形为幅度为5V,频率为50HZ的锯齿波,是通过查表转换的 方法来实现的。而要实现其他如 100Hz 150HZ 200Hz等频率的波形,则需要调用 延时子程序,改变波形发生的时间常数。4.2.4 方波的仿真如图5.6所示,止匕波形为幅度为5V,频率为50Hz的方波,是通过查表转换的方法来实现的。通过调用延时子程序,改变波形发生的时

22、间常数,实现100Hz 150Hz 200Hz等频率的波形。单片机课程设计5 .总结这种基于单片机的信号发生器已经展示出很好的性能,而且有着很高的性价比。 此外,它产生的波形与模拟电路的波形相比,波形有着更好的平滑性,其周期性也 更加稳定。已经越来越多的应用到各种电子设备当中,给人们的日常生活带来了方 便。在论文中简单介绍了它的用途和发展趋势,根据它的一些基本知识,按照自己 的想法设计了一类低频信号发生器,具体包括了设计方案以及相关参数的选取和计 算,根据工作基本原理加入了一些相关的辅助电路,并编写了相关的应用程序。有 些指标还有待于进一步提高。例如,在精度及其它功能的扩展上还有较大的潜力可

23、以挖掘,这些都有待于我们通过对电路的改进和对元器件的最佳选择来进一步完善。 而且由于本人所学知识有限,很多知识点的学习不够深刻,我会在以后的学习中更 加踏实、认真的学好各个知识点。6 .参考文献1程全.基于AT89C52实现的多种波形发生器的设计J.周口师范学院学报,2005.22(5) :5758.2周明德.微型计算机系统原理及应用M.北京:清华大学出版社,2002.341364.3刘乐善.微型计算机接口技术及应用M.北京:北京航空航天大学出版社,2001.258264.4童诗白.模拟电路技术基础M.北京:高等教育出版社,2000.171202.5杜华.任意波形发生器及应用J.国外电子测量技

24、术,2005.1:3840.6张友德.单片微型机原理、应用与实践M.上海:复旦大学出版社,2004.4044.7程朗.基于8051单片机的双通道波形发生器的设计与实现J.计算机工程与应用,2004.8 :1001037.附录7.1 源程序ORG 0000HAJM MAINORG 000BHLJMP TC014单片机课程设计ORG 0030HMAIN : MOV DPTR, #9FFFHMOV A, 70HMOVX DPTR, AMOV DPTR , #7F00HMOV A, #06HMOVX DPTR, AMOV DPTR, #7F01HMOVX A , DPTRJNB ACC.4 , K50

25、HJNB ACC.5 , K100HJNB ACC.6 , K150HJNB ACC.7 , K200HAJMP MAINLED1 : MOV R3 , #06HMOV R2, #01HMOV R1, #30HGN1 : MOV DPTR , #7F03HMOV A, R2MOVX DPTR, ARL AMOV R2, AR1;取键值MOV DPTR , #TABMOVC A , A+DPTRMOVDPTR, #7F02HMOV DPTR , ALCALL LOOP1INC R1DJNZ R3, GN1RETLOOP1 : MOV R4, #08HLOOP : MOV R5, #0A0H甘旨向

26、 DAC0832 (1);DAC0832 ( 1)输出;指向8155命令字端口地址;设置A 口为输入,B 口、C 口为输出;送命令字;指向A 口地址;读入A 口的开关数据;判断是否“附键,若是则转输出 50Hz信号;判断是否“泻键,若是则转输出100Hz信号;判断是否“骋键,若是则转输出150Hz信号;判断是否“学键,若是则转输出200Hz信号;设置6个LED显示;选通第一位LED数据;送显示缓冲区首址;指向C 口地址;位选通数据送A;位选通数据送C 口;选通下一位;位选通数据送 R2中保存 MOV A, ;送LED显示软件译码表首址;查表求出键值显示的段码;指向B 口地址;段码送显示;调延时

27、子程序;指向下一位显示缓冲区地址;循环显示6个LED;延时子程序DJNZ R5, $26DJNZR4, LOOPRETK50H :MOV 30H,#00H;显示10HzMOV31H, #00HMOV32H, #00HMOV33H, #00HMOV34H, #01HMOV35H, #00HLCALLLED1;调显示子程序MOVTMOD , #00HMOVTL0, #15HMOVTH0 , #9EHAJMPPDK100H:MOV 30H,#00H;显示100HzMOV31H, #00HMOV32H, #00HMOV33H, #01HMOV34H, #00HMOV 35H, #00HLCALLLED

28、1;调显示子程序MOVTMOD , #00HMOVTL0 , #08HMOVTH0 , #0F6HAJMPPDK150H:MOV 30H,#00H;显示500HzMOV31H, #00HMOV32H, #00HMOV33H, #05HMOV34H, #00HMOV35H, #00HLCALLLED1;调显示子程序MOVTMOD , #00HMOVTL0 , #01HMOVTH0 , #0FEHAJMPPDK200H:MOV 30H,#00H;显示1KHzMOV 31H, #00HMOV 32H, #01HMOV 33H, #00HMOV 34H, #00HMOV 35H, #00HLCALL

29、LED1MOV TMOD , #00HMOV TL0 , #01HMOV TH0 , #0FFHPD: JNB ACC.0 , KE0JNB ACC.1 , KE1JNB ACC.2 , KE2JNB ACC.3 , KE3LJMP PDKE0: MOV R7, #00HLCALL LED1MOV R6, #00HAJMP GNKE1 : MOV R7, #02HLCALL LED1MOV R6, #00HAJMP GNKE2: MOV R7, #02HLCALL LED1MOV R6, #00HAJMP GNKE3: MOV R7, #02HLCALL LED1MOV R6, #00HGN

30、: SETB TR0SETB ET0SETB EALOP1 : JNB ACC.4 , K50HJNB ACC.5 , K100HJNB ACC.6 , K150H;调显示子程序;判断是否“鳄键按下,若是则转方波输出;判断是否“偌键按下,若是则转正弦方波输出;判断是否“21键按下,若是则转三角波输出;判断是否3”号键按下,若是则转锯齿波输出;调显示子程序;调显示子程序;调显示子程序;调显示子程序;判断是否4”号键,若是则转输出 50Hz信号;判断是否“冷键,若是则转输出100Hz信号;判断是否“6号键,若是则转输出150Hz信号JNBACC.7, K200H;判断是否“7#键,若是则转输出 2

31、00Hz号AJMP LOP1发送方波程序;送方波数据表首址;发送数据寄存器甘旨向 DAC0832 (2);DAC0832 (2)输出TC0: CJNE R7, #00H, TC1MOV DPTR , #TAB1MOV A, R6MOVC A , A+DPTRMOV DPTR, #0AFFFHMOVX DPTR, AMOV A, R6INC AMOV R6, ACJNE A, #32, QL1MOV R6, #00HAJMP QL1;发送正弦波程序;送正弦波数据表首址TC1 : CJNE R7, #01H, TC2MOV DPTR , #TAB2MOV A, R6MOVC A, A+DPTR;指

32、向 DAC0832 (2);DAC0832 (2)输出MOV DPTR, #0AFFFHMOVX DPTR, AMOV A, R6INC AMOV R6, ACJNE A, #32, QL1MOV R6, #00HAJMP QL1;发送三角波程序;送三角波数据表首址;指向 DAC0832 (2);DAC0832 (2)输出TC2: CJNE R7, #02H, QL1MOV DPTR , #TAB3MOV A, R6MOVC A , A+DPTRMOV DPTR, #0AFFFHMOVX DPTR, AMOV A, R6INC AMOV R6, ACJNE A, #32, QL10A4H ,

33、0B0H, 99H, 0FFH, 0FFH, 0FFH 0FFH, 0FFH, 0FFH 0FFH, 0FFH, 0FFH 0FFH, 0FFH, 0FFH 0FFH, 0FFH, 0FFH 0FFH, 0FFH, 0FFH 0FFH, 0FFH, 0FFH 0FFH, 0FFH, 0FFH 0FFH, 0FFH, 0FFH 0FFH, 0FFH, 0FFH 0FFH, 0FFH, 0FFH 0FFH, 0FFH, 0FFH 0FFH, 0FFH, 0FFH 0FFH, 0FFH, 0FFH 0FFH, 0FFH, 0FFH 0FFH, 0FFH, 0FFH 00H, 00H,00H,00H,

34、 00H,00H,00H , 00H,00H,QL1;发送锯齿波程序;送锯齿波数据表首址;指向 DAC0832 (2);DAC0832 (2)输出MOV R6, #00HAJMP QL1TC3: CJNE R7, #03H,MOV DPTR , #TAB4MOV A, R6MOVC A, A+DPTRMOV DPTR, #0AFFFHMOVX DPTR, AMOV A, R6INC AMOV R6, ACJNE A, #32, QL1MOV R6, #00HQL1 : RETITAB :DB 0C0H , 0F9HTAB1 : DB 0FFH , 0FFHDB 0FFH , 0FFHDB 0F

35、FH , 0FFHDB 0FFH , 0FFHDB 0FFH , 0FFHDB 0FFH , 0FFHDB 0FFH , 0FFHDB 0FFH , 0FFHDB 0FFH , 0FFHDB 0FFH , 0FFHDB 0FFH , 0FFHDB 0FFH , 0FFHDB 0FFH , 0FFHDB 0FFH , 0FFHDB 0FFH , 0FFHDB 0FFH , 0FFH DB 00H , 00H , DB 00H , 00H , DB 00H , 00H ,82H, 0F8H, 80H0FFH, 0FFH, 0FFH0FFH, 0FFH, 0FFH0FFH, 0FFH, 0FFH0F

36、FH, 0FFH, 0FFH0FFH, 0FFH, 0FFH0FFH, 0FFH, 0FFH0FFH, 0FFH, 0FFH0FFH, 0FFH, 0FFH0FFH, 0FFH, 0FFH0FFH, 0FFH, 0FFH0FFH, 0FFH, 0FFH0FFH, 0FFH, 0FFH0FFH, 0FFH, 0FFH0FFH, 0FFH, 0FFH0FFH, 0FFH, 0FFH0FFH, 0FFH, 0FFH00H, 00H, 00H00H, 00H, 00H00H, 00H, 00HDB 00H,00H,00H,00H,00H,00H,00H,00HDB 00H,00H,00H,00H,00

37、H,00H,00H,00HDB 00H,00H,00H,00H,00H,00H,00H,00HDB 00H,00H,00H,00H,00H,00H,00H,00HDB 00H,00H,00H,00H,00H,00H,00H,00HDB 00H,00H,00H,00H,00H,00H,00H,00HDB 00H,00H,00H,00H,00H,00H,00H,00HDB 00H,00H,00H,00H,00H,00H,00H,00HDB 00H,00H,00H,00H,00H,00H,00H,00HDB 00H,00H,00H,00H,00H,00H,00H,00HDB 00H,00H,00H,

38、00H,00H,00H,00H,00HDB 00H,00H,00H,00H,00H,00H,00H,00HDB 00H,00H,00H,00H,00H,00H,00H,00HDB80H,83H ,86H,89H,8DH , 90H, 93H, 96HDB99H,9CH,9FH,(0A2H ,0A5H ,0A8H ,0ABH , 0AEHDB0B1H ,0B4H ,0B7H,0BAH,0BCH,0BFH1, 0C2H, 0C5HDB0C7H ,0CAH :,0CCH,0CFH,0D1H,0D4H , 0D6H , 0D8HDB0DAH ,0DDH,0DFH1 , 0E1H , 0E3H1 , 0

39、E5H , 0E7H, 0E9HDB0EAH ,0ECH,0EEH,0EFH,0F1H,0F2H, 0F4H, 0F5HDB0F6H ,0F7H,0F8H:,0F9H,0FAH1 , 0FBH , 0FCH , 0FDHDB0FDH ,0FEH ,0FFH,0FFH,0FFH ,0FFH1, 0FFH, 0FFHDB0FFH ,0FFH,0FFH ,0FFH ,0FFH,0FFH,0FEH, 0FDHDB0FDH ,0FCH :,0FBH,0FAH,0F9H:,0F8H, 0F7H, 0F6HDB0F5H ,0F4H,0F2H ,0F1H ,0EFH ,0EEH,0ECH , 0EAHDB0E

40、9H ,0E7H,0E5H ,0E3H ,0E1H ,0DEH,0DDH , 0DAHDB0D8H ,0D6H ,0D4H :,0D1H,0CFH,0CCH , 0CAH , 0C7HDB0C5H ,0C2H,0BFH,0BCH,0BAH,0B7H , 0B4H , 0B1HDB0AEH ,0ABH,0A8H,0A5H,0A2H,9FH1, 9CH, 99HDB96H,93H,90H,8DH ,89H ,86H,83H, 80HDB80H,7CH,79H,78H,72H,6FH,6CH, 69HDB66H,63H,60H,5DH ,5AH ,57H,55H, 51HDB4EH,4CH,48H,

41、45H,43H,40H,3DH , 3AHDB38H,35H,33H,30H,2EH,:2BH ,29H, 27HDB25H,22H,20H,1EH,1CH,1AH ,18H,16HTAB2 :TAB3 :DB15H,13H,11H,10H,0EH ,0DH ,0BH ,0AHDB09H,08H,07H,06H,05H,04H,03H, 02HDB02H,01H,00H,00H,00H,00H,00H, 00HDB00H,00H,00H,00H,00H,00H,01H, 02HDB02H,03H,04H,05H,06H,07H,08H, 09HDB0AH ,0BH ,0DH,0EH,10H,11H:,13H,15HDB16

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 科普知识


经营许可证编号:宁ICP备18001539号-1