第5章基于FPGA的DSP开发一.ppt

上传人:本田雅阁 文档编号:2987331 上传时间:2019-06-20 格式:PPT 页数:48 大小:445.02KB
返回 下载 相关 举报
第5章基于FPGA的DSP开发一.ppt_第1页
第1页 / 共48页
第5章基于FPGA的DSP开发一.ppt_第2页
第2页 / 共48页
第5章基于FPGA的DSP开发一.ppt_第3页
第3页 / 共48页
第5章基于FPGA的DSP开发一.ppt_第4页
第4页 / 共48页
第5章基于FPGA的DSP开发一.ppt_第5页
第5页 / 共48页
点击查看更多>>
资源描述

《第5章基于FPGA的DSP开发一.ppt》由会员分享,可在线阅读,更多相关《第5章基于FPGA的DSP开发一.ppt(48页珍藏版)》请在三一文库上搜索。

1、第5章 基于FPGA的DSP开发技术,DSP Builder可以帮助用户完成基于FPGA的DSP系统设计,除了可以进行图形化的系统建模外,DSP Builder还可以自动完成大部分的设计过程和仿真,直至把设计文件下载到FPGA芯片中。,一方面,经由Matlab/DSP Builder和 Quartus II软件工具开发的DSP模块或其它功能模块可以成为单片FPGA电路系统的一个组成部分,可以承担一定的功能; 另一方面可以通过Matlab/DSP Builder,为Nios嵌入式处理器设计各类加速器,并以指令的形式加入到NIOS II的指令系统,从而成为Nios II系统的一个接口设备,与整个片

2、内嵌入式系统融为一体,即利用DSP Builder和Nios II CPU,用户可以根据项目的具体要求,随心所欲地构建自己的DSP处理器系统。,5.1 基于 MATLABDSP Builder的DSP模块设计流程,DSP Builder是一个系统级(算法级)设计工具,但同时它把系统级(算法仿真建模)和RTL级(硬件实现)的设计工具连接起来,使算法开发到硬件的实现可以无缝地过渡。使用Matlab/DSP Builder进行DSP系统的开发必须要安装Matlab和DSP Builder软件。,DSP Builder设计包括两套流程:自动流程和手动流程:,设计流程的第一步,在Matlab/Simul

3、ink中进行设计输入,在Matlab/Simulink中建立一个模型文件(mdl文件),用图形方式调用DSP Builder和其它Simulink库中的模块,构成系统级或算法级设计框图。利用Simulink的图形化仿真、分析功能,分析此设计模型的正确性,完成模型仿真。第一步设计同一般的Matlab/Simulink建模过程几乎没什么区别,所不同的是,设计采用了DSP Builder库。,设计流程第二步,通过SignalCompiler把Simulink的模型文件转化为硬件描述语言文件,以供其它的EDA(Quartus II、ModelSim 等)软件处理,这些软件不能直接处理Matlab/Si

4、mulink产生的模型文件,那么DSP Builder中的SignalCompiler模块用于完成模型文件到硬件描述语言文件的转换,转换之后的HDL文件是RTL级(寄存器传输级,即可综合的格式)。,设计流程的第三步,执行RTL级的仿真,DSP Builder 支持自动流程的ModelSim仿真。用户也可以利用第二步产生的VHDL文件使用其它的仿真工具软件手动地进行仿真。,设计流程的第四步,使用第二步SignalCompiler产生的VHDL文件进行RTL级的综合,网表产生和适配等处理,DSP Builder支持自动流程和手动流程两种方式:自动流程中可以选择让DSP Builder自动调用Qua

5、rtus II等EDA软件来完成相应的工作;手动模式允许用户选择相应的软件来完成相应的工作,手动模式需要更多的干预,同时提供了更大的灵活性,用户可以指定综合、适配等过程的条件。 第三步和第四步可以不分先后。,设计流程的第五步,在Quartus II中编译用户的设计,最后将设计下载,进行测试验证。,经过测试、验证的设计可以单独执行相应的DSP功能。如果DSP Builder产生的DSP模型只是整个设计中的一个子模块,那么可以在设计中调用DSP Builder产生的VHDL文件,以构成完成的设计。,5.2 正弦发生器模块的设计,通过本例的学习可以掌握DSP Builder的使用方法。这个简单的正弦

6、波发生器,主要由4部分构成:IncCount是阶梯信号发生模块,产生一个按时钟线性递增的地址信号,送往SinLUT。SinLUT是一个正弦函数值的查找表模块,由递增的地址获得正弦波的离散值输出。由SinLUT输出的8位正弦波数据经过一个延时模块Delay后,送往Product乘法模块,与SinCtrl相乘,SinCtrl是一位输入,SinCtrl通过Product完成对正弦波输出有无的控制。SinOut是整个正弦波发生器模块的输出,送往D/A即可获得正弦波模拟输出信号。,5.2.1 建立设计模型,(1)运行Matlab,Matlab的主窗口被分成3部分:Command Window、Works

7、pace/Current Directory、Command History。 (2)建立工作目录。在建立一个新的设计模型前,先要建立一个文件夹,作为工作目录,来保存相应的设计文件,在进行设计之前要先切换到该文件夹下。新建和切换到工作目录可以在命令窗口中使用Matlab 命令,也可以在Current Directory窗口中实现。,(3) 启动Simulink,建立模型。 在命令窗口中,键入Simulink,按回车键,启动Matlab图形化仿真工具Simulink,出现了Simulink Library Browser窗口,在窗口的左侧为Simulink Library 列表,右侧窗口显示的则

8、是,被选中的库中的组件、子模块列表。安装完DSP Builder之后,在Simulink 库列表中可以看到Altera DSP Builder的库出现在列表中。在下面设计中,主要使用该库中的组件、模块来完成各项设计,再使用Simulink库来完成模型的仿真和验证。 选择File菜单,然后单击new,在弹出的子菜单中选择Model,出现了一个未命名的模型窗口。,(4) 放置 SignalCompiler。单击Simulink库列表中的Altera DSP ,单击Altlab项,使之展开。选中右侧窗口中的SignalCompiler组件,按住鼠标左键拖放到新模型窗口中。也可以单击右键,选择Add

9、to untitled,这里untitled是指我们新建的未命名的模型文件。 在选中SignalCompiler模块后,在Simulink窗口中的提示栏里会显示对应模块的说明,简单的功能介绍。可以看到SignalCompiler的介绍为 “Converts Model Files to VHDL files.” 即为进行模型文件mdl到VHDL文件的转换,所以SignalCompiler是进行任何DSP系统设计必须要添加的模块。选中SignalCompiler选中Help for the SignalCompiler block。可以了解怎样使用SignalCompiler的具体信息。也可以按

10、照此方法获得其它的模块相应的帮助信息。,(5)添加Increment Decrement模块。Increment Decrement模块是DSP Builder库中Arithmetic子库中的模块。选中Altera DSP Builder中的Arithmetic子库,然后在其中选择Increment Decrement模块。然后按照添加SignalCompiler的方法将Increment Decrement添加到模型文件中。,(7)添加正弦查找表。在Altera DSP Builder库的Gate &Control子库中找到查找表模块LUT,把LUT拖放到新建模型窗口,将LUT模块的名字修改

11、为“SinLUT”。,双击SInLUT模块,打开模块参数设置对话框“Block Parameters: SinLUT”。把输出位宽设为8;查找表地址设为6;总线数据类型Bus Type选择为有符号整数 Signed Integer;在Matlab Array编辑框中输入计算查找表内容的计算式。在这里使用sin函数,sin函数的调用格式为: sin(起始值:步进值:结束值),SinLUT是一个输入地址为6位,输出值位宽为8位的正弦查找表模块,且输入地址总线为有符号数,所以设置起始值为0,结束值为2,步进值为,计算式可写成: 127*sin(0:2*pi/26:2*pi) 其中pi就是常数,这是M

12、atlab中的语法。上式的数值变化范围是-127+127,恰好是8位二进制数可以表示的最大值,所以8位的输出值位宽可以表示上式所描述的正弦波形。 如果将SinLUT模块的总线数据类型设置为无符号整数Unsigned Integer,且输出位宽改为10,若想得到完成满度的波形输出,应将表达式改为: 511*sin(0:2*pi/26:2*pi)+512 选中”Use LPM”(LPM: Library of Parameterized Modules 参数化模块),如果选中”Use LPM”的话,Quartus II 将利用目标器件中的嵌入式RAM来构成SinLUT,即将生成的正弦波数据放在嵌入

13、式RAM构成的ROM中,这样可以节省大量的逻辑资源,否则SinLUT只能用芯片中的LCs来构成。 选中”Register Address”,选中此选项会生成输入地址总线,如果目标器件是Straitix或者Cyclone,并且选中了LPM选项,用户必须选中”Register Address”选项。,(8)添加Delay模块。在Altera DSP Builder库中,选中Storage子库下的Delay模块,拖放到新建模型窗口。Delay模块可以实现延时的功能,在这里可以使用其默认参数设置。,在Delay模块的参数设置的对话框中,参数Depth是描述信号延时深度的参数。当Depth为1,模块传输

14、函数为1/Z,通过Delay模块的信号被延时一个时钟周期;当Depth为整数n时,其传输函数为1Zn,通过Delay模块的信号将被延时n个时钟周期。Delay模块在硬件上采用寄存器来实现,所以Delay模块被放在Storage子库中。 Clock Phase Selection 参数主要是控制采样的。当设置为1表示Delay模块总处于使能状态,所用的数据都通过Delay模块。如果设置为10则每隔一个脉冲处于使能状态,那么每隔一个的数据才能通过Delay模块。如设置为0100,表示Delay模块在每4个时钟中第二个时钟是处于使能,那么每4个数据只有第二个数据可以通过。,(9)添加端口SinCtr

15、l。在Altera DSP Builder库中选择IO & BUS子库,找到AltBus模块,拖放到新建模型窗口中。修改AltBus模块的名字为SinCtrl。SinCtrl是一个1位输入端口。双击SinCtrl模块,打开模块参数设置对话窗口。设置SInCtrl的Bus Type为”Single Bit”,Node Type参数为”Input Port”。,(10)添加Product(乘法)模块。在Altera DSP Builder库中选择Arithmetic子库,找到Product模块。,将之拖放到新建模型窗口中去,这里Product有两个输入一个是经过Delay的SinLUT输出,另一个

16、是一位端口SinCtrl,Product实现了SinCtrl对SinLUT查找表输出的控制。双击Product模块,打开Product模块参数设置对话框。其中Pipeline(流水线)参数指定该乘法器模块使用几级流水线,即乘积延时几个时钟周期后输出,选中”Use LPM”,表示使用参数化的模块库来实现,选择”Use Dedicated Circuitry”表示可以使用FPGA中的专用模块来实现.,(11) 添加输出端口Out。在Altera DSP Builder库中,选择IO & BUS子库,找到AltBus模块,拖放到信件模型窗口中,修改AltBus模块的名字为Out。,Out是一个8位输

17、出端口,接到FPGA的输出引脚,与片外的8位D/A转换器相接,D/A转换模块将数字信号转化成模拟信号。双击Out模块,打开参数设置对话框,设置Out的Bus Type为”Signed Integer”, Node Type参数为”Output Port”,然后单击Apply,然后修改”Number of bits”为8。 Saturate选项如果被选中,则当输出大于要表达的值的最大正值或负值,则输出被强制为最大的正值或负值。若此选项未被选中,则最高位MSB被截断。此选项对输入端口和常数节点类型是无效的。,(12)保存设计文件。放置完Out模块,把新建模型中的DSP Builder模块连接起来,

18、这样就完成了一个正弦波发生器的DSP Builder模型设计。在进行仿真验证和SignalCompiler编译之前,先把设计保存起来。单击File菜单,选择Save操作,取名并保存。本例中,新建模型取名Sinout,生成模型文件Sinout.mdl。 模型保存之后,先要对模型进行仿真验证,如通过验证,则使用SignalCompiler进行编译将mdl文件转换为VHDL文件。,5.2.2 Simulink模型仿真,Matlab的Simulink环境具有强大的图形化仿真验证的功能。用DSP Builder模块设计好的模型,可以在simulink中进行算法级、系统级仿真验证。对一个模型进行仿真需要施

19、加合适的激励,在特定的观察点添加必须的观察模块。,1. 加入Step模块 本例中,先加入一个step(阶跃模块),来实现模拟SinCtrl的按键使能操作。在simulink的simulink基本库中,选择Source子库,把其中的Step模块拖放到Sinout模型窗口中去,并将其与SinCtrl的输入端口相连。 注意:凡是来自Altera DSP Builder库以外的模块,SignalCompiler都不能将其转换成硬件描述语言的模块。,2. 添加波形观察模块 在Simulink中选择Simulink库,展开Simulink库,选中其中的Sinks子库,把Scope(示波器)模块拖放到Sin

20、Out模型窗口中去。双击该模块,打开的是一个Scope窗口。如图5-11所示,图中只有一个信号的波形观察窗口,若希望可以多观察记录信号,可以通过添加多个Scope模块的方法来实现,也可以通过修改Scope的参数来实现Scope模块中的观察窗口数。,3. Scope模块参数设置 用鼠标单击Scope模块窗口上侧工具栏的第二个按钮:Parameters,弹出参数设置对话框,以进行参数设置。,在Scope参数设置对话框中有两个设置页:General和Data History。在General页中,改变Number of axes为2。在单击OK按钮后,可以看到Scope窗口出现了两个波形观察窗。每个

21、观察窗可以独立地观察信号波形。同时Scope模块也多了一个输入端,将SinCtrl的信号接到这一新增的输入端,作为参考信号。,4. 设置仿真激励 先设置模型的仿真激励。在SinOut模型中,只有一个输入端口SinCtrl,需要设置与之相连的Step模块。双击Step模块,在弹出的Step模块参数设置对话框中设置对其输入端口SinCtrl施加的激励。,各参数值的含义如下: 阶跃时刻(Step time):Step模块的输出在该时刻发生阶跃,默认值为1,单位为秒。 初始值(Initial value):在阶跃时刻的之前的Step模块的输出值,默认值为0。 终值(Final value):在阶跃时刻

22、之后Step模块的输出值,默认值为1。 采样时刻(Sample time):Step模块输出的采样频率。 设置Step time为30,则在30秒时该模块会发生输出值的阶跃。初始值设为0,那么在30秒时刻之前,不输出正弦波;终值设为1。Sample time设为0,设为0的话,在大的和小的时间间隔都进行采样,设成0的模块被称作连续采样;设成1的话,则只在大的时间间隔上采样。选中底部的两项选择:“Interpret vector parameters as 1-D” 和 “Enable zerocrossing detection”,在SInOut模型窗口中,单击Simulation菜单,在下拉

23、菜单中选择Simulation parameters。将弹出SinOut模型的仿真参数设置对话框:”Configuration Parameters: SinOut/configuration”。,仿真参数设置对话框共有7个选项页:Solver、Data Import/Export、Optimization、Diagnostics、Hardware Implementation、Model Referencing、Real-Time Workshop。其中”Solver” 页中完成仿真时的基本时间设置、计算器和解算器(solver)的步进方式及输出选项等设置。,5. 启动仿真 在SinOut模

24、型窗口中,选恶Simulation菜单下的Start项,开始仿真。仿真结束后,双击Scope模块,打开Scope观察窗。出现如图5-15所示的仿真结果。可以看到SinOut受到了SinCtrl的控制。,6. 设计成无符号数据输出 由示波器的波形可以看到,输出的正弦波是有符号的数据,在127间变化,但一般的D/A器件的输入数据都是无符号的正数。因此为了能在硬件系统上D/A的输出也能观察到此波形,必须对此输出做一些改进,以便输出无符号数。最简单的方法就是将输出波形向上平移127即可,SinLut的Bus Type设置为 ”Unsigned Integer”,SinLut的波形数据公式改为:127*sin(0:2*pi/(26):2*pi)+128。然后将输出端口Out的Bus Type改为”Unsigned integer”类型。修改完成之后,进行仿真,可以看到输出的波形都在0以上。,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1