FPGA学习系列:12. 边沿检测设计.doc

上传人:白大夫 文档编号:3251715 上传时间:2019-08-06 格式:DOC 页数:2 大小:15.50KB
返回 下载 相关 举报
FPGA学习系列:12. 边沿检测设计.doc_第1页
第1页 / 共2页
亲,该文档总共2页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《FPGA学习系列:12. 边沿检测设计.doc》由会员分享,可在线阅读,更多相关《FPGA学习系列:12. 边沿检测设计.doc(2页珍藏版)》请在三一文库上搜索。

1、FPGA学习系列:12. 边沿检测设计设计背景:在我们工程设计中,有时会需要到上升沿和下降沿这么一个说法,通过上升沿和下降沿来驱动一个电路,那么学习边沿检测就非常的重要了。设计原理:在学习边沿检测前我们先学习一下下面的电路,这样方便我们学习,边沿检测。这个电路的意思就是,输入一个信号后我们经过一个寄存器,然后把这个寄存器的输出,和下次输出的值取反后相与,那么我们就可以这么想,如果一个高平的值经过这个寄存器后延迟一个上升沿后输出也为高电平,那么当输出的时候会有一个新的电平值,也就是一个电平的到来,然后通过低电平的值取反后和寄存器输出的高电平值得与得到一个高电平的脉冲值,然后对低电平转化为高电平也

2、是一样的道理,这样我们就可以得到时钟上升沿和下降沿的高脉冲,这样就得到了我们设计的目的。设计架构图:设计代码:设计模块0moduleedge_jiance(clk,rst_n,signle,nege_dge,pose_dge);12 inputclk;3 inputrst_n;4 inputsignle;/输入信号56 outputnege_dge;/输出下降沿的脉冲7 outputpose_dge;/输出上升沿的脉冲89 reg1:0signle_s;10 always(posedgeclk ornegedgerst_n)11 if(!rst_n)12 begin13 signle_s 测试模块0timescale1ns/1ps12moduleedge_tb();34 regclk;5 regrst_n;6 regsignle;78 wirenege_dge;9 wirepose_dge;1011 initialbegin12 clk =1b1;13 rst_n =1b0;14 signle 仿真图:我们模拟的上升沿和下降沿在仿真中可以清楚的看到,然后我们通过边沿检测电路,可以得出我们一个高电平的上升沿,和一个低电平的下降沿。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1