FPGA差分信号缓冲的转换(IBUFDS、IBUFGDS和OBUFDS).doc

上传人:白大夫 文档编号:3251806 上传时间:2019-08-06 格式:DOC 页数:2 大小:15KB
返回 下载 相关 举报
FPGA差分信号缓冲的转换(IBUFDS、IBUFGDS和OBUFDS).doc_第1页
第1页 / 共2页
亲,该文档总共2页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《FPGA差分信号缓冲的转换(IBUFDS、IBUFGDS和OBUFDS).doc》由会员分享,可在线阅读,更多相关《FPGA差分信号缓冲的转换(IBUFDS、IBUFGDS和OBUFDS).doc(2页珍藏版)》请在三一文库上搜索。

1、FPGA差分信号缓冲的转换(IBUFDS、IBUFGDS和OBUFDS)IBUFDS、IBUFGDS和OBUFDS都是差分信号缓冲器,用于不同电平接口之间的缓冲和转换。1)IBUFDS是差分输入的时候用;2)OBUFDS是差分输出的时候用;3)IBUFGDS则是时钟信号专用的输入缓冲器。下面详细说明:1、IBUFDS(DifferenTIalSignaling Input Buffer with Selectable I/O Interface)/差分输入时钟缓冲器IBUFDS是一个输入缓冲器,支持低压差分信号(如LVCMOS、LVDS等)。在IBUFDS中,一个电平接口用两个独特的电平接口(

2、I和IB)表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。input input outputI IB O0 0 No change0 1 01 0 11 1 No changeIBUFDS instance_name (.O (user_O), .I (user_I), .IB (user_IB);2、OBUFDS(DifferenTIalSignaling Output Buffer with Selectable I/O Interface)/差分输出时钟缓冲器OBUFDS是一个输出缓冲器,支持低压差分信号。OBUFDS隔离出了内电路并向

3、芯片上的信号提供驱动电流。它的输出用O和OB两个独立接口表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是,相位相反。input input outputI IB O0 0 No change0 1 01 0 11 1 No changeance_name (.O (user_O), .I (user_I), .IB (user_IB);3、IBUFGDS(DedicatedDifferenTIal Signaling Input Buffer with Selectable I/O Interface)/专用差分输入时钟缓冲器IBUFGDS是一个连接时钟信号BUFG或DCM的专用的差分信号输入缓冲器。在IBUFGDS中,一个电平接口用两个独立的电平接口(I和IB)表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。input input outputI IB O0 0 No change0 1 01 0 11 1 No changeIBUFGDS instance_name (.O (user_O), .I (user_I), .IB (user_IB);

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1