EDA技术课程设计-出租车自动计费系统 (2).doc

上传人:来看看 文档编号:3258049 上传时间:2019-08-06 格式:DOC 页数:17 大小:120.04KB
返回 下载 相关 举报
EDA技术课程设计-出租车自动计费系统 (2).doc_第1页
第1页 / 共17页
EDA技术课程设计-出租车自动计费系统 (2).doc_第2页
第2页 / 共17页
EDA技术课程设计-出租车自动计费系统 (2).doc_第3页
第3页 / 共17页
EDA技术课程设计-出租车自动计费系统 (2).doc_第4页
第4页 / 共17页
EDA技术课程设计-出租车自动计费系统 (2).doc_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《EDA技术课程设计-出租车自动计费系统 (2).doc》由会员分享,可在线阅读,更多相关《EDA技术课程设计-出租车自动计费系统 (2).doc(17页珍藏版)》请在三一文库上搜索。

1、东北石油大学课程设计任务书课程 EDA技术课程设计题目 出租车自动计费系统专业 电子信息工程 姓名 学号 0主要内容、基本要求、主要参考资料等主要内容:设计一个最大量程为99.99元的出租车自动计费,计费器具有行车里程计费、等候时间计费及起价三部分功能,并用数码管显示车费的数目。基本要求:1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99元;2、行车里程单价1元/公里,等候时间单价0.5元/10分钟,起价3元(3公里起价)均能通过人工输入。3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换

2、成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1.0元/公里,则脉冲当量为0.01元/脉冲。4、用LED显示行驶公里数,两个数码管显示收费金额。主要参考资料:1 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005.2 康华光主编.电子技术基础 模拟部分. 北京:高教出版社,2006.3 阎石主编.数字电子技术基础. 北京:高教出版社,2003.完成期限 2011.3.11 指导教师 专业负责人 2011年 3月7日一、总体设计思想1.基本原理本次设计首先在Qu

3、artus环境中对出租车自动计费器的各个部分利用VHDL这一硬件描述语言予以设计,生成模块。而整个设计的核心部分就在分频/计量模块,该模块完成的功能主要包括计费脉冲的产生,等待计时、计价、计程功能。随后运用Quartus中的仿真功能对其予以仿真,从仿真的结果中分析程序的正确性。待所有模块的功能正确之后,运用原理图搭建电路并进行整体仿真直至达到最初的设计要求,最后再在实验箱上检验设计的正确与否。2.设计框图根据设计要求,电路具有计时、计程、计费功能,用LED显示行驶公里数,数码管显示收费金额,行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以

4、一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。其设计框图如图1所示:BCD码比例乘法器BCD码比例乘法器行车里程等车时间等车单价里程单价脉冲数求和脉冲电路计数器起步价译码器显示器图1 出租车自动计费系统设计框图二、设计步骤和调试过程1、总体设计电路计程模块是2个100进制计数器,输入的每10米一次脉冲经一次100进制计数获得每km一次的脉冲,由100进制计数输出为2个4位2进制BCD码。接显示模块,得到计程(0-99km)。计时部分:计算乘客的等待累计时间。等候时间计费需将等候时间转换成脉冲个数,用每个脉

5、冲表示的金额与脉冲数相乘即得计费数,例如100个脉冲表示10分钟,而10分钟收费0.5元,则脉冲当量为0.05元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0.01元/脉冲),则10分钟内的脉冲数应为500个。计程部分:计算乘客所行驶的公里数。计程器的量程为99km,满量程后自动归零。显示模块输入计费、计时,计程数据总线通过扫描脉冲选择一个BCD码进行译码,每扫描一次进行一位显示。所以扫描脉冲适当取高一点。考虑视觉暂留25帧乘8得200Hz以上为好。实际实验中使用了5859Hz。其他RST信号,每10米,1Hz,74LS138三位八线选择器,八位七段数码管由外围设备和电路提供。总体设计电路

6、图如图2所示:图2 出租车自动计费器顶层电路原理图2、模块设计和相应模块程序(1)计程模块原理使用4位十进制计数器封装成为8位一百进制计数器。对于外部每10米的里程脉冲,经计数可以每km输出进位脉冲一次。再用一百进制计数器对其进行计数。通过对计数输出信号的译码可以得到里程在LED上的显示。算乘客所行驶的公里数。计程器的量程为99km,满量程后自动归零。(2)计时模块原理使用1Hz的外部脉冲信号,使用5位60进制计数器。可以得到每分钟一次的脉冲输出。再使用一百进制计数器对其进行计数。通过对计数输出信号的译码可以得到时间显示。计算乘客的等待累计时间。计时器的量程为59min,满量程后自动归零。(3

7、)计费模块原理使用前两模块中的分钟信号作为时间输入,千米信号作为路程输入。编写两个十进制计数器对时间和里程计数。并且在里程计数设置ENT输出,行程在3公里内,起步费为3元;3公里外以每公里按1元计费,等待累计时间每10min按0.5元计费。(4)显示模块原理行驶费用通过使用74LS138和七段数码管进行显示。这要求输出使用3位输出为位选,对其译码得到数码管地址,7位数据在相应数码管上显示相应的数据。使用LED显示行驶里程数。编写VHDL源程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE

8、IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY TAXI IS PORT(CLK:IN STD_LOGIC; START:IN STD_LOGIC; STOP:IN STD_LOGIC; FIN:IN STD_LOGIC; CHA3,CHA2,CHA1,CHA0: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); KM1,KM0: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); MIN1,MIN0: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END TAXI;ARCHITECTURE BEHAVE OF CHU

9、ZUCHE IS SIGNAL Q_1:INTEGER RANGE 0 TO 99; SIGNAL W:INTEGER RANGE 0 TO 59; SIGNAL C3,C2,C1,C0:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL K1,K0 :STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL M1:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL M0:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL TEMP0,TEMP1 :STD_LOGIC_VECTOR(3 DOWNTO 0); SI

10、GNAL F_1:STD_LOGIC; SIGNAL EN0,EN1:STD_LOGIC; BEGIN FEIPIN:PROCESS(CLK,START) BEGIN IF CLKEVENT AND CLK=1 THEN IF START=0 THEN F_1=0; Q_1=0; ELSE IF Q_1=99 THEN Q_1=0; F_1=1; ELSE Q_1=Q_1+1; F_1=0; END IF; END IF; END IF;END PROCESS;PROCESS(FIN) BEGIN IF FINEVENT AND FIN=1 THEN IF START =0 THEN K1=0

11、000; K0=0000; ELSIF STOP =0 THEN IF K0=1001 THEN K0=0000; IF K1=1001 THEN K1=0000; ELSE K1=K1+1; END IF; ELSE K0=K0+1; END IF; END IF; END IF;END PROCESS;PROCESS(F_1)BEGINIF F_1EVENT AND F_1=1 THENIF START =0 THEN W=0; M1=0000; M0=0000; ELSIF STOP =1 THEN IF W=59 THEN W=0; IF M0=1001 THEN M0=0000; I

12、F M1=0101 THEN M1=0000; ELSE M1=M1+1; END IF; ELSE M0=M0+1; END IF; ELSE W=W+1; END IF; END IF; END IF;END PROCESS;PROCESS(CLK)BEGINIF CLKEVENT AND CLK=1 THEN IF START =0 THEN EN0=0; EN1=0; ELSIF STOP =0 THEN EN000000011 THEN EN0=1; ELSE EN0=0; END IF; ELSIF STOP =1 THEN EN000000010 THEN EN1=1; ELSE

13、 EN1=0; END IF; END IF;END IF;END PROCESS;PROCESS(CLK,START) VARIABLE C13,C15:INTEGER; BEGIN IF START=0 THEN C3=0000; C2=0000; C1=0101; C0=0000; C13:=0; C15:=0; TEMP0=0000;TEMP1=0000; ELSIF CLKEVENT AND CLK=1 THEN IF (EN0=1) THEN IF (C1313) THEN C13:=C13+1; IF (C13=1) THEN TEMP0=K0; END IF; IF C0=10

14、01 THEN C0=0000; IF C1=1001 THEN C1=0000; IF C2=1001 THEN C2=0000; IF C3=1001 THEN C3=0000; ELSE C3=C3+1; END IF; ELSE C2=C2+1; END IF; ELSE C1=C1+1; END IF; ELSE C0=C0+1; END IF; ELSIF(K0/=TEMP0) THEN C13:=0; END IF;ELSIF(EN1=1) THEN IF(C1515) THEN C15:=C15+1; IF(C15=1) THEN TEMP1=M0; END IF;IF C0=

15、1001 THENC0=0000; IF C1=1001 THEN C1=0000;IF C2=1001 THEN C2=0000; IF C3=1001 THEN C3=0000; ELSE C3=C3+1;END IF;ELSEC2=C2+1;END IF;ELSEC1=C1+1;END IF;ELSEC0=C0+1;END IF;ELSIF(M0/=TEMP1) THEN C15:=0;END IF;END IF;END IF;END PROCESS;PROCESS(F_1)BEGINIF F_1 EVENT AND F_1=1 THENIF START =0 THEN MIN1=000

16、0; MIN0=0000; KM1=0000; KM0=0000;CHA3=0000;CHA2=0000;CHA1=0000;CHA0=0000; ELSE MIN1=M1;MIN0=M0; KM1=K1;KM0=K0; CHA3=C3;CHA2=C2;CHA1=C1;CHA0=C0; END IF; END IF; END PROCESS;END BEHAVE;3、仿真及仿真结果分析仿真图1仿真图2图中显示为出租车总行驶里程为8km,累计等待时间为3min,费用为8.5元。4、实验调试结果验证所设计程序是否正确,将程序下载进行硬件测试。在Quartus开发环境中进行管脚锁定,连接好数码管驱动

17、电路,然后将目标文件下载到器件中。经过多次数据测试,显示正常,基本实现本实验的要求。三、结论及心得体会在这个星期的设计过程中收获颇丰。设计的核心内容就是利用VHDL语言设计出租车自动计费系统。在整个设计过程中,首先对EDA这门课程有了更深的了解,因为课程设计本身要求将以前所学的理论知识运用到实际的电路设计当中去,在电路的设计过程中,加深了对数字电路的了解及运用能力,对课本以及以前学过的知识有了一个更好的总结与理解;以前的数字实验只是针对某一个小的功能设计,而此次的EDA课程设计是对我们的设计总体电路的能力考核,需要通过翻阅复习以前学过的知识确立了实验总体设计方案,然后逐步细化进行各模块的设计,

18、其次,在电路仿真的过程中总会出现一些问题,需要我们细心解决,我会在今后的学习中更加努力,增强自己的设计能力,不断完善设计思路,达到学以致用的目的。参考资料1 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005.2 宋嘉玉,孙丽霞著EDA实用技术北京:人民邮电出版社,2006.12 3 齐洪喜,陆颖著VHDL电路设计实用技术北京:清华大学出版社,2004.54 刘艳萍,高振斌著,李志军EDA实用技术及应用北京:国防工业出版社,2006.15 章彬宏著EDA应用技术北京:北京理工大学出版社,2007.715东北石油大学课程设计成绩评价表课程名称EDA技术课程设计题目名称出租车自动计费系统学生姓名学号0指导教师姓名职称序号评价项目指 标满分评分1工作量、工作态度和出勤率按期圆满的完成了规定的任务,难易程度和工作量符合教学要求,工作努力,遵守纪律,出勤率高,工作作风严谨,善于与他人合作。202课程设计质量课程设计选题合理,计算过程简练准确,分析问题思路清晰,结构严谨,文理通顺,撰写规范,图表完备正确。453创新工作中有创新意识,对前人工作有一些改进或有一定应用价值。54答辩能正确回答指导教师所提出的问题。30总分评语:指导教师: 2011年 3 月 11 日

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 信息产业


经营许可证编号:宁ICP备18001539号-1