EDA技术课程设计报告-键盘扫描与数码管及点阵显示设计.doc

上传人:来看看 文档编号:3258061 上传时间:2019-08-06 格式:DOC 页数:32 大小:1.60MB
返回 下载 相关 举报
EDA技术课程设计报告-键盘扫描与数码管及点阵显示设计.doc_第1页
第1页 / 共32页
EDA技术课程设计报告-键盘扫描与数码管及点阵显示设计.doc_第2页
第2页 / 共32页
EDA技术课程设计报告-键盘扫描与数码管及点阵显示设计.doc_第3页
第3页 / 共32页
EDA技术课程设计报告-键盘扫描与数码管及点阵显示设计.doc_第4页
第4页 / 共32页
EDA技术课程设计报告-键盘扫描与数码管及点阵显示设计.doc_第5页
第5页 / 共32页
点击查看更多>>
资源描述

《EDA技术课程设计报告-键盘扫描与数码管及点阵显示设计.doc》由会员分享,可在线阅读,更多相关《EDA技术课程设计报告-键盘扫描与数码管及点阵显示设计.doc(32页珍藏版)》请在三一文库上搜索。

1、 课 程 设 计 课程名称课程名称 EDA 技术技术 课题名称课题名称 键盘扫描与数码管及点阵显示设计键盘扫描与数码管及点阵显示设计 专专 业业 电子科学与技术电子科学与技术 班班 级级 0802 学学 号号 * 姓姓 名名 * 指导教师指导教师 * 2011 年年 6 月月 20 日日 湖南工程学院 课程设计任务书 课程名称课程名称: EDA 技术 题题 目目:键盘扫描与数码管及点阵显示设 计 专业班级:电科 0802 学号: * 学生姓名: * 指导老师: * 审 批: 任务书下达日期 2011 年 6 月 13 日 设计完成日期 2011 年 6 月 20 日 设计内容与设计要求 一设计

2、内容: 1 设计并调试键盘扫描与数码管显示电路; 2 键盘为 3*4,数码管为 7 段 8 位; 3 以数字形式显示键盘 16 个输入键的识别; 4 外设置控制开关和防抖动电路; 5 功能扩展(自选):彩灯状态的转换以及点阵字符的显示 二设计要求: 1.设计思路清晰,整体设计给出框图,提供顶层电路图; 2.应用 vhdl 完成各次级模块设计,绘出具体设计程序; 3.完成设计仿真和程序下载; 4.写出设计报告 主要设计条件 1提供所需元件及芯片; 2提供电源和调试设备; 3提供 EWB 设计软件 说明书格式 1 课程设计封面; 2 设计仿真; 3 编程下载; 4 总结与体会; 5 附录; 6 参

3、考文献。 7 任务书; 8 说明书目录; 9 设计总体思路; 10 单元电路设计程序; 进 度 安 排 月 日 日 课题电路设计。 月 日日 总体电路设计和子模块设计 月 日 日 软件仿真和联线。 月 日 日 电路调试 月 日 写设计报告,打印相关图纸, 月 日 答辩; 参 考 文 献 一 电子技术与 EDA 技术课程设计 郭照南 主编 中南大学出版社 0 目录目录 第第 1 章章 总体方案设计分析总体方案设计分析1 1.1 基本设计思路1 1.2 总体框图1 第第 2 章章 各级模块设计与分析各级模块设计与分析2 2.1 键盘扫描程序设计及仿真2 2.2 点阵显示程序设计及仿真5 2.3 彩

4、灯显示程序设计及仿真11 2.4 数码管扫描程序设计及仿真14 第第 3 章章 系统总电路设计与仿真系统总电路设计与仿真16 3.1 系统总电路模块图16 3.2 总电路仿真波形16 3.3 系统总体电路图17 第第 4 章章 软件的调试与下载软件的调试与下载18 4.1 引脚锁定18 4.2 引脚锁定后总电路图19 4.3 程序下载界面19 4.4 系统总体仿真结果20 第第 5 章章 总结与体会总结与体会21 第第 6 章章 参考文献参考文献22 第第 7 章章 附录附录23 7.1 点阵字符显示效果图23 7.2 彩灯显示结果23 1 第第 1 章章 总体方案设计分析总体方案设计分析 1

5、.1 基本设计思路基本设计思路 首先利用键盘扫描程序完成键盘扫描及按键输出值的输出,同时在 其中加入按键消抖程序,以保证按键每次按下的键值都是有效准确的理 想输出信号。同时通过按键扫描的输出定义两个控制信号量(程序中即 xuanze 和 dz 两信号)以通过按键的按下来达到控制彩灯状态切换以及点 阵字符显示切换的目的。其中,定义了两个彩灯控制信号,以用来选择 彩灯模块中两种彩灯显示状态的切换,而点阵控制信号则定义了五个状 态以控制点阵显示的五个状态的切换。从而整个系统则通过键盘扫描程 序中的两个控制信号连接成了一个整体。最后就将键盘扫描的输出信号 连接到数码管显示模块,彩灯模块连接到 8 个

6、led 灯,而点阵模块的输 出则接入到点阵上。再在现有的基础上加入清零信号 cr。综上,整个系 统的功能即得以实现。 1.2 总体框图总体框图 2 图 1.1 系统总体框图 第第 2 章章 各级模块设计与分析各级模块设计与分析 2.1 键盘扫描程序设计及仿真键盘扫描程序设计及仿真 2.1.1 基本设计思路基本设计思路 本模块采用行输入,列输出,并定义一个五位的矢量信号 count,此 矢量通过时钟脉冲的输入计数,并且定义一个信号量 sel 并取其中间两位, 以此两位构成的四个状态进行键盘列扫描,同时再在另外一个进程中通 过三个条件语句的选择判断以确定三个行键中哪个按下,并结合列扫描 中 sel

7、 所取的四个状态共同连接赋值给一个四位矢量 knum,并由此确定 了键盘的 12 个状态。最后利用另外一个进程中对 knum 值的判断从而确 定对应的按键值的输出,以及其他各控制键值的信号输出(其中包括, 控制彩灯状态的控制信号 xuanze,以及控制点阵显示状态的控制信号 dz) 。 2.1.2 键盘扫描模块图键盘扫描模块图 clk cr kin1 kin2 kin3 xuanze10 dz20 keyv30 keycode40 keyscan inst1 图 2.1.1 键盘扫描模块图 3 图中 clk 接时钟脉冲,cr 是复位端,kin1,kin2,kin3 为行扫描输入, xuanze

8、 为彩灯控制信号,dz 为点阵控制信号,keyv 为列输出,keycode 为按键显示数值输出。 2.1.3 键盘扫描程序键盘扫描程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity keyscan is port(clk ,cr : in std_logic; -扫描时钟输入 kin1,kin2,kin3 : in std_logic; -按键行扫描输入 xuanze : out std_logic_vector(1

9、 downto 0); dz:out std_logic_vector(2 downto 0); keyv: out std_logic_vector(3 downto 0);-按键列扫描 keycode : out std_logic_vector(4 downto 0) ); -段码显示输出 end keyscan; architecture a of keyscan is signal sel : std_logic_vector(1 downto 0); signal knum : std_logic_vector(3 downto 0); signal count : std_log

10、ic_vector(4 downto 0); signal count0 : std_logic; begin sel keyv keyv keyv keyv keyv keycode keycode keycode keycode keycode keycode keycode keycode keycode keycode keycode keycode keycode if(dz=“001“) then case sel is when “0000“= lie lie lie lie lie lie lie lie lie lie lie lie lie lie lie lie coun

11、t case sel is when “0000“= lie lie lie lie lie lie lie lie lie lie lie lie lie lie lie lie count case sel is when “0000“= lie lie lie lie lie lie lie lie lie lie lie lie lie lie lie lie count case sel is when “0000“= lie lie lie lie lie lie lie lie lie lie lie lie lie lie lie lie count case sel is w

12、hen “0000“= lie lie lie lie lie lie lie lie lie lie lie lie lie lie lie lie count case sel is when “0000“= lie lie lie lie lie lie lie lie lie lie lie lie lie lie lie lie count next_state if xuanze=“01“ then comb_outputs comb_outputs comb_outputs comb_outputs comb_outputs comb_outputs comb_outputs c

13、omb_outputs comb_outputs comb_outputs comb_outputs comb_outputs comb_outputs comb_outputs comb_outputs comb_outputs comb_outputs comb_outputs comb_outputs comb_outputs comb_outputs comb_outputs comb_outputs comb_outputs next_state SG SG SG SG SG SG SG SG SG SG SG SG SG NULL ; END CASE ; END PROCESS

14、P1; END; 2.4.4 数码管扫描仿真波形数码管扫描仿真波形 图 2.4.2 数码管扫描仿真波形 16 第第 3 章章系统总电路设计与仿真系统总电路设计与仿真 3.1 系统总电路模块图系统总电路模块图 clk cr kin1 kin2 kin3 clk1 SG60 BT keyv30 comb_outputs70 hang150 lie30 block1 inst2 图 3.1.1 总电路模块图 图中,clk 接时钟脉冲,cr 接复位端,kin1,kin2,kin3 分别接 入键盘行扫描,clk1 接一提供给点阵显示的时钟脉冲。SG60接数码 管段选信号,BT 为数码管位选信号输出,ke

15、yv30为键盘列扫描, comb_outputs70控制彩灯的输出端,hang150,lie30分别为点阵行 列的输入扫描信号。 3.2 总电路仿真波形总电路仿真波形 图 3.2.1 总电路仿真波形 17 3.3 系统总体电路图系统总体电路图 图 3.3.1 系统总体电路图 18 第第 4 章章 软件的调试与下载软件的调试与下载 4.1 引脚锁定引脚锁定 19 图 4.1.1 引脚锁定列表 4.2 引脚锁定后总电路图引脚锁定后总电路图 图 4.2.1 引脚锁定后总电路图 20 4.3 程序下载界面程序下载界面 图 4.3.1 程序下载界面 4.4 系统总体仿真结果系统总体仿真结果 图 4.4.

16、1 系统总体仿真结果 部分相应的实验显示效果参见附录。 21 第第 5 章章 总结与体会总结与体会 历时两个星期的 EDA 课程设计终于落下帷幕,在这两个星期中,我 们从接到课题,到查找资料,再到自己独立编程仿真调试程序,最后到 接硬件调试,我们的每一步都走出了自己奋斗的精彩。刚开始我们组抽 到了做键盘扫描程序的课题。本以为这是个很容易的课题,也很快地查 找好了资料,编出了程序,尤其也在键盘消抖上下了功夫,原本我的方 案是通过计数器延时而实现键盘消抖(借鉴了单片机键盘消抖的程序思 路) 。但是,当我们借回来 EDA 试验箱自己搭接好了线路,下载程序后, 才发现,效果不如人意,其中那个消抖电路最

17、不好实现,于是,我们一 组的人就经常聚在一起讨论这个问题,因为我们的消抖效果都不理想。 但是,讨论了半天也没个好的方案,于是,我们就大量查阅资料,最后, 找到了一个效果比较理想的消抖程序设计方法,并借鉴了此思路,顺利 地攻克了键盘扫描及消抖的问题,实现了既定的功能,原本我们的课题 任务仅仅只要完成这一步就可以啦,但是,后来陈老师要我们各自扩展 相应的功能,我选择的是扩展一个彩灯,以及点阵,做彩灯模块的时候, 由于刚开始有一个 if 语句的顺序写错弄的结果总是不理想,经过我一次 又一次耐心的检查调试,最后终于完成了彩灯控制模块的设计,我也切 切实实的感受到了经过自己努力后换来的成功的喜悦。最后,

18、我就做点 阵模块,刚开始,我还不了解点阵的工作原理,于是,我利用一个高电 平信号线分别测试点阵的 20 个引脚,最终确定了行高电平有效,列低电 平有效的基本信息。然后,再对字符进行编码。最后,经过,几次调试 后,这个模块的功能也实现了,当我们提前完成了既定的任务后,老师 也表扬了我们,我们从中也真正尝到了学习的乐趣,虽然编程都是自己 独立完成,但我们组四个成员遇到问题相互探讨,在这个过程中,我们 学到的不仅是将课内的理论知识与实践动手操作联系了起来,而且我们 也发挥了团队合作的效力,最终都取得了比较好的结果。在最后,我也 22 得对我们的指导老师陈老师表示衷心的感谢。 第第 6 章章 参考文献

19、参考文献 1. 康华光主编的电子技术基础(数字部分) ,高等教育出版社。 2. 阎石主编的电子技术基础(数字部分) ,清华大学出版社。 3. 刘洪喜,陆颖编著.VHDL 电路设计实用教程 ,清华大学出版 社。 4. 郭照南主编的电子技术与 EDA 技术课程设计 ,中南大学出版 社。 23 第第 7 章章 附录附录 7.1 点阵字符显示效果图点阵字符显示效果图 图 7.1.1 点阵字符显示效果图 24 7.2 彩灯显示结果彩灯显示结果 图 7.2.1 彩灯显示结果 电气信息学院课程设计评分表 项 目评 价 设计方案的合理性与创造性 硬件制作或软件编程完成情况* 硬件制作测试或软件调试结果* 设计说明书质量 设计图纸质量 答辩汇报的条理性和独特见解 答辩中对所提问题的回答情况 完成任务情况 独立工作能力 组织纪律性(出勤率) 综合评分 指导教师签名:_ 25 日 期:_ 注:表中标*号项目是硬件制作或软件编程类课题必填内容; 此表装订在课程设计说明书的最后一页。课程设计说明书装订顺序:封面、任务书、目录、 正文、评分表、附件(非 16K 大小的图纸及程序清单) 。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 信息产业


经营许可证编号:宁ICP备18001539号-1