EDA课程设计-GSM通讯机的VHDL设计及仿真.doc

上传人:来看看 文档编号:3258066 上传时间:2019-08-06 格式:DOC 页数:19 大小:841.04KB
返回 下载 相关 举报
EDA课程设计-GSM通讯机的VHDL设计及仿真.doc_第1页
第1页 / 共19页
EDA课程设计-GSM通讯机的VHDL设计及仿真.doc_第2页
第2页 / 共19页
EDA课程设计-GSM通讯机的VHDL设计及仿真.doc_第3页
第3页 / 共19页
EDA课程设计-GSM通讯机的VHDL设计及仿真.doc_第4页
第4页 / 共19页
EDA课程设计-GSM通讯机的VHDL设计及仿真.doc_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《EDA课程设计-GSM通讯机的VHDL设计及仿真.doc》由会员分享,可在线阅读,更多相关《EDA课程设计-GSM通讯机的VHDL设计及仿真.doc(19页珍藏版)》请在三一文库上搜索。

1、 课程设计说明书 NO.1GSM通讯机的VHDL设计及仿真1.课程设计的目的 EDA技术及应用课程设计是学习EDA技术及应用课程之后的实践教学环节。其目的是训练学生综合运用学过的EDA技术及应用的基础知识,通过解决比较简单的实际问题巩固和加深在EDA技术及应用课程中所学的理论知识和实验技能。独立完成查找资料,选择方案,设计电路,安装调试,分析结果,撰写报告等工作。使学生进一步理解所学本课程的内容,初步掌握模拟电子电路设计的一般方法步骤,通过理论联系实际提高和培养学生。并理论联系实际提高和培养学生的分析、解决实际问题的能力,创新能力及动手能力,为后续课程的学习、毕业设计、毕业后的工作打下基础。2

2、.设计方案论证2.1软件介绍 Max+plus是Altera公司提供的FPGA/CPLD开发集成环境,Altera是世界上最大可编程逻辑器件的供应商之一。Max+plus界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在Max+plus上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。Max+plus开发系统的特点:(1)、开放的界面,Max+plus支持与Cadence,Exemplarlogic,Mentor Graphics,Synplicty,Viewlogic和其它公司所提供的

3、EDA工具接口。(2)、与结构无关,Max+plus系统的核心Complier支持Altera公司的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAX7000、MAX5000和Classic可编程逻辑器件,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。(3)、完全集成化,Max+plus的设计输入、处理与较验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期。(4)、丰富的设计库,Max+plus提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的逻辑功能(Macro-Function)以及新型的参数化的兆功能(Mage-Funct

4、ion) 沈 阳 大 学 课程设计说明书 NO.2(5)、模块化工具,设计人员可以从各种设计输入、处理和较验选项中进行选择从而使设计环境用户化。(6)、硬件描述语言(HDL),Max+plus软件支持各种HDL设计输入选项,包括VHDL、Verilog HDL和Altera自己的硬件描述语言AHDL。(7)、Opencore特征,Max+plus软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数。2.2设计方案2.2.1实现功能 (1)显示系统当前运行状态,由VGA接口输出,在液晶屏上以640*480分辨率显示; (2)实时检测输入设备,对GSM模块进行操控,输入设备采用PS/2接口

5、键盘; (3)通过RS232接口连接GSM模块,采用UART标准进行全双工通信,发送AT命令控制GSM模块,GSM模块返回信息通过UART由系统接收并做出相应处理; (4)支持GSM拨号功能; (5)支持短信收发功能,并可实时查阅、删除已有短信; (6)编辑模式可通过BackSpace键删除误输入; (7)支持来电号码显示,并周期性发出来电铃声; (8)支持新短信显示提示,并发出一声短信提示音; (9)支持中文菜单显示,通过上下箭头按键选择不同菜单项; (10)界面支持字体变色、动态滚动效果。2.2.2系统框图 沈 阳 大 学 课程设计说明书 NO.3 FPGA主控制器液晶显示器PS/2接口键

6、盘GSM模块VGAPS/2UART图1 GSM系统框图由上图可见,主控制器通过3个接口分别连接了3个硬件,对应不同接口应该独立地进行处理,所以必须对主控制器进行模块划分。3.设计结果与分析模块1:分频 功能描述:将50MHz时钟源进行分频,提供给VGA模块(25MHz)和UART模块,其中UART模块为波特率可调,通过改变CNT_RS232的值实现不同的波特率。 具体代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY FREQUENCY IS GENERIC (CNT_RS232:INTEGER:=163; CNT_VGA: INTEGER:=

7、1);PORT( CLK:IN STD_LOGIC; CLK_RS232:OUT STD_LOGIC; CLK_VGA:OUT STD_LOGIC);END ENTITY;ARCHITECTURE FREQUENCY_DIV OF FREQUENCY ISBEGIN 沈 阳 大 学 课程设计说明书 NO.4 PROCESS (CLK)VARIABLE REG_RS232:STD_LOGIC :=0; VARIABLE REG_VGA:STD_LOGIC :=0;VARIABLE TEMP_RS232:INTEGER RANGE 0 TO CNT_RS232; VARIABLE TEMP_VGA

8、:INTEGER RANGE 0 TO CNT_VGA;BEGINIF (CLKEVENT AND CLK=1) THENTEMP_RS232:=TEMP_RS232+1; TEMP_VGA:=TEMP_VGA+1;IF (TEMP_RS232=CNT_RS232) THEN REG_RS232:=NOT REG_RS232; TEMP_RS232:=0;CLK_RS232=REG_RS232;END IF; IF (TEMP_VGA=CNT_VGA) THENREG_VGA:=NOT REG_VGA; TEMP_VGA:=0;CLK_VGA=REG_VGA;END IF; END IF;EN

9、D PROCESS;END ARCHITECTURE; 将以上程序写入MAX+pluwe2中进行编译仿真,可得如下结果。图2 frequency元件图 沈 阳 大 学 课程设计说明书 NO.5图3 对 frequency程序的仿真结果图 模块2:PS/2 Keyboard 功能描述:接收键盘的时钟源PS2_CLK,并进行平滑处理,接收完一个按键相应输出按键的通码MakeCode和触发信号TRIG,另外输出平滑后的键盘时钟信号PS2_CLKsm,为接收模块提供同步时钟。 具体代码:library ieee;use ieee.std_logic_1164.all;entity PS2keyboar

10、d is port(CLK,PS2_CLK,PS2_DATA:in std_logic; TRIG:out std_logic; MAKE_CODE:out std_logic_vector(7 downto 0); PS2_CLKsm: out std_logic);end entity;architecture code of PS2keyboard istype state_type is(S1,S2);type cache_type is array(2 downto 0) of std_logic_vector(7 downto 0);signal smooth_ps2_clk:st

11、d_logic;beginsmooth:process(CLK)variable cnt:integer range 0 to 7;variable scan:std_logic_vector(7 downto 0);beginif falling_edge(CLK) thenscan(cnt):=PS2_CLK; 沈 阳 大 学 课程设计说明书 NO.6if cnt=7 then cnt:=0;else cnt:=cnt+1;end if;if scan=11111111 then smooth_ps2_clk=1;elsif scan=00000000 then smooth_ps2_cl

12、k=0;end if;end if;end process smooth;receive:process(smooth_ps2_clk)variable cnt:integer range 0 to 10;variable count:integer range 0 to 2;variable pstate:state_type;variable cache:cache_type;variable reg:std_logic_vector(10 downto 0);beginif falling_edge(smooth_ps2_clk) thenreg(cnt):=PS2_DATA;if cn

13、t=10 then cnt:=0;if count=1 and reg(8 downto 1)/=XF0 then count:=0;end if;cache(count):=reg(8 downto 1 ); if count=0 thencount:=count+1;MAKE_CODE=cache(0);TRIG=1;elsif count=2 then count:=0;MAKE_CODE=cache(0);TRIG=0;else count:=count+1;TRIG=0;MAKE_CODE=cache(0);end if;else cnt:=cnt+1; end if;end if;

14、end process receive;PS2_CLKsm txd temp:=DATA_IN;txd txdtxdsp_state:=S1;end case;end if;end process send;receive:process(CLK_UART) variable rp_state:rece_state; variable reg:std_logic_vector(7 downto 0); variable cnt:integer range 0 to 16; variable count:integer range 0 to 8; begin if CLK_UARTevent a

15、nd CLK_UART=1 then case rp_state iswhen R0=RECE_MARK0);if RXD=0 thencnt:=cnt+1;if cnt=8 then rp_state:=R1;end if;else cnt:=0;end if;when R1=cnt:=cnt+1;if cnt=16 then cnt:=0;end if;if cnt=8 thenreg:=RXD®(7 downto 1); 沈 阳 大 学 课程设计说明书 NO.10count:=count+1;if count=8 then count:=0;cnt:=0;rp_state:=R2;

16、end if;end if;when R2=DATA_OUT=reg(7 downto 0);RECE_MARKrp_state:=R0;end case; end if;end process receive;end architecture; 将以上程序写入MAX+pluwe2中进行编译仿真,可得如下结果。图6 uart_withoutParity元件图 沈 阳 大 学 课程设计说明书 NO.11图7对uart_withoutParity程序仿真图 模块4VGA接口 VGA模块由3个子模块组成,分别是CONTENT、VGA、VGA_DIV,其中VGA_DIV模块将显示器分割成20*15个小

17、块,VGA模块输出信号至显示器,CONTENT模块包含了所要显示的内容,还包括了键盘按键的判断、UART的缓存数据,还是整个系统主要的状态控制器。将这3个模块用component语句进行例化,生成VGA_interface模块,再在顶层的Block Diagram文件进行调用,减少了顶层文件连线的复杂性。 VGA的VHDL源代码如下:library ieee;use ieee.std_logic_1164.all;entity VGA isport(CLK_VGA:in std_logic;HS,VS:out std_logic;RGB:out std_logic_vector (2 down

18、to 0);RGB_DATA:in std_logic_vector (2 downto 0);RGB_H:inout std_logic;RGB_V:inout std_logic);end entity;architecture VGA_DIS of VGA issignal h_cnt:integer range 0 to 800 :=0;signal v_cnt:integer range 0 to 525 :=0;begin 沈 阳 大 学 课程设计说明书 NO.12p1:process (CLK_VGA)beginif rising_edge(CLK_VGA) then if h_

19、cnt=799 then h_cnt=0;if v_cnt=524 then v_cnt=0;else v_cnt36 and v_cnt=516) then RGB_V=not RGB_V;else null;end if; end if;else h_cnt151 and h_cnt=791) then RGB_H=8 and h_cnt=103) then HS=0;else HS=2 and v_cnt=3) then VS=0;else VS=37 and v_cnt=152 and h_cnt=791) then RGB=RGB_DATA;else RGB=000;end if;e

20、lse null;end if;else null;end if;end process p3; 沈 阳 大 学 课程设计说明书 NO.13end;VGA_DIV的VHDL源代码如下:library ieee;use ieee.std_logic_1164.all;entity VGA_DIV isport(CLK:in std_logic;RGB_H,RGB_V:in std_logic;x:inout integer range 0 to 16 :=0;y:inout integer range 0 to 16 :=0;div_x:inout integer range 0 to 19 :

21、=0;div_y:inout integer range 0 to 14 :=0);end entity;architecture VGA_CON of VGA_DIV issignal v_cnt:integer range 0 to 240 :=0;signal h_cnt:integer range 0 to 320 :=0;begin cnt1:process(RGB_H) beginif rising_edge (RGB_H) then h_cnt=h_cnt+1;x=x+1; if h_cnt=319 then h_cnt=0;else null;end if;if (h_cnt+

22、1) mod 16)=0) then div_x=div_x+1; x=0; if (div_x=19) then div_x=0; else null;end if; else null;end if;end if;end process cnt1;cnt2:process(RGB_V)begin if rising_edge (RGB_V) thenv_cnt=v_cnt+1;y=y+1;if v_cnt=239 then v_cnt=0;else null;end if;if (v_cnt+1) mod 16)=0) then div_y=div_y+1; y=0;if (div_y=1

23、4) then div_yX00000100028002800440044008200FE0101010102008701C0000000000000000,66=X00001FE008100810081008200FC008200810081008101FE00000000000000000,67=X000007C008201010100010001000100010001010082007C00000000000000000,beginprocess (clk)variable color:std_logic_vector (2 downto 0):=000; variable cnt:i

24、nteger range 0 to 10000000 :=0; 沈 阳 大 学 课程设计说明书 NO.15beginif rising_edge(clk) thenif cnt=10000000 then cnt:=0;if color=111 then color:=001;else color:=color+1;end if;else cnt:=cnt+1;end if;if pix_all(data(DIV_Y)(DIV_X)(Y*16+X)=0 then RGB_DATA=000;elseif invert_data(DIV_Y)(DIV_X)=1 then RGB_DATA=colo

25、r; else RGB_DATA=0&pix_all(data(DIV_Y)(DIV_X)(Y*16+X)&1; end if;end if;end if;end process;end architecture;分别对 VGA、VGA_DIV、CONTENT的源程序进行编译仿真得到其原理图。图8VGA原理图 沈 阳 大 学 课程设计说明书 NO.16图9 对VGA源程序的仿真结果图图10 得到的VGA_DIV、CONTENT原理图模块5:整个系统图11整个GSM通讯机原理图 沈 阳 大 学 课程设计说明书 NO.17如图,为使系统各模块关系更加清楚,我们将各个模块创建为符号文件,并在bdf文

26、件中指定连接关系。4. 设计体会通过这次EDA技术及应用课程设计,加强了我们动手能力,提高了我们的思考和解决问题的思维。此次设计我们选用的是MAX+plusII软件,在整个设计过程中整个小组的同学团结一致和互帮互助,遇到了很多问题,有时心里想着这样的接法可以行得通,但实际进行运行仿真时,总是实现不了,但最终通过我们自己的努力解决了这些问题,我们受益匪浅。做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。平时看课本时,有时

27、问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西,比如一些引脚的初始化过程,通过动手实践让我们对各个元件映象深刻。认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。所以这个期末测试之后的课程设计对我们的作用是非常大的。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。同时,我也学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,和与人合作

28、共同提高。在这次数字电子课程设计过程中,我们学会使用MAX+plusII软件设计电路并运行仿真,让我们的动手能力得到很大的提高,增加了我们的学习兴趣。5.参考文献1谭会生,瞿逐春.EDA技术综合应用实例与分析M.西安:西安电子科技大学出版社.2010.2:296. 沈 阳 大 学 课程设计说明书 NO.182谭会生,张昌凡.EDA技术及应用M.西安:西安电子科技大学出版社.2010.2:192193.3江国强.EDA技术与应用M.北京:电子工业出版社.2007.4:55126.4付家才.EDA工程实践技术M.北京:化学工业出版社.2006.12:78101.5姜雪松,吴钰淳.VHDL设计实力例子及仿真M.北京:机械工业出版社.2007.1107109.6平均芬.硬件描述语言VHDL在EDA仿真中的应用J.现代电子技术.2007.06:6778.7李邦军.基于VHDL设计的综合实例J.红外与激光工程. 2007.34(3): 356359.8邵清亮,张振川.VHDL设计电路优化J.现代电子技术.2006.08:98120. 沈 阳 大 学

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 信息产业


经营许可证编号:宁ICP备18001539号-1