EDA课程设计说明书-病房呼叫系统.doc

上传人:来看看 文档编号:3258101 上传时间:2019-08-06 格式:DOC 页数:19 大小:196.54KB
返回 下载 相关 举报
EDA课程设计说明书-病房呼叫系统.doc_第1页
第1页 / 共19页
EDA课程设计说明书-病房呼叫系统.doc_第2页
第2页 / 共19页
EDA课程设计说明书-病房呼叫系统.doc_第3页
第3页 / 共19页
EDA课程设计说明书-病房呼叫系统.doc_第4页
第4页 / 共19页
EDA课程设计说明书-病房呼叫系统.doc_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《EDA课程设计说明书-病房呼叫系统.doc》由会员分享,可在线阅读,更多相关《EDA课程设计说明书-病房呼叫系统.doc(19页珍藏版)》请在三一文库上搜索。

1、燕 山 大 学 课 程 设 计 说 明 书燕山大学课 程 设 计 说 明 书题目: 病房呼叫系统 学院(系): 电气工程学院 年级专业: 学 号: 学生姓名: 指导教师: 郑兆兆 任文举 教师职称: 实验师 燕山大学课程设计(论文)任务书院(系):电气工程学院 基层教学单位:电子实验中心 学 号学生姓名专业(班级)设计题目病房呼叫系统设计技术参数用一个数码管显示呼叫信号的号码没信号呼叫时显示0;有多个信号呼叫时,显示优先级最高的呼叫号用5个拨码开关设置功能键,1号优先级最高,1-5号优先级依次降低用指示灯指示对应的病房有呼叫,有呼叫时蜂鸣3秒。设计要求 用静态数码管显示呼叫的病房号 用蜂鸣器发

2、出蜂鸣工作量学会使用Max+PlusII软件和实验箱;独立完成电路设计,编程下载、连接电路和调试;参加答辩并书写任务书。工作计划1. 了解EDA的基本知识,学习使用软件Max+PlusII,下发任务书,开始电路设计;2. 学习使用实验箱,继续电路设计;3. 完成电路设计;4. 编程下载、连接电路、调试和验收;5. 答辩并书写任务书。参考资料数字电子技术基础.阎石主编.高等教育出版社.EDA课程设计指导书.指导教师签字 基层教学单位主任签字说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。年 月 日 目 录第1章 摘要2第2章 引言3第3章 课程设计参数要求及设计内容3 3.1课程设

3、计的目的4 3.2 参数要求课程设计的内容及设计思路4 3.3病床呼叫系统4 3.4 仿真波形图10 3.5管脚锁定11第4章 结论13第5章 心得体会 14参考文献15第一章 摘 要呼叫器对于每一个住院的人来说都很重要,尤其对那些在住院期间需要经常有护士护理的病人来讲,呼叫器对医院来讲也很重要,它能间接的决定医院能够提供给病人的服务质量,但是由于医院护理人员数量有限,护士不能时刻保证呆在每一位病人身边,病床呼叫系统能够使病人在危急时刻及时的联系上医生或者护士,从而得到及时的救治或看护。所以拥有一套功能完善的病床呼叫系统,对于一个提供高质量服务的医院来讲,是非常重要的。这个设计所要求的整个呼叫

4、系统由拨动开关、控制电路、蜂鸣器、计时器、指示灯等几个部分所组成,病人在身边没有医生但却需要护理的时候能够做到及时的告知医务人员,医生也能够通过该系统准确的判断出是哪个床位的病人需要护理。该系统最突出的一个优点是具有优先选择功能,能够在第一时间做到对最需要救助的人进行帮助。 第二章 引 言在本课程设计所要求的病床呼叫系统中,若1号病人当病人拨动求助开关时呼叫,则数码管显示1号,同时对应床位的LED灯亮,蜂鸣器发出响声,三秒钟之后,蜂鸣器停止发声。但是LED灯和数码管仍然显示,直到医生或护士去到病房解除报警。由于蜂鸣器声音比较刺耳,所以只让蜂鸣器响三秒,三秒过后自动停止,到下一次警报的时候再响。

5、这样可以保证医院安静的工作环境,使病人能够更好的休息治疗。本系统的优先呼叫功能即当优先级较高的病床呼叫时,可以屏蔽其他病床的呼叫,若一号病床的优先级最高,当1号和2号病床同时呼叫或者1号晚于2号呼叫,数码管只显示1号,系统自动屏蔽了2号的呼叫,只有当1号解除警报时具有下一优先级的病床才能呼叫,这样只要把在出现情况的情况下最急需救助的病人放在优先级最高的病床,就可以保证病人优先得到治疗。第三章 课程设计的参数要求及设计内容3.1课程设计的目的本次课程设计要求学生通过运用所学过的理论知识和积极的思考,设计出要求参数的病房呼叫系统。在分析和设计的过程中,锻炼学生从理论知识到实际应用的过度能力,3.2

6、 课程设计的内容及设计思路参数要求:用5个拨码开关设置功能键,1号优先级最高,1-5号优先级依次降低用一个数码管显示呼叫信号的号码,没信号呼叫时显示0;有多个信号呼叫时,显示优先级最高的呼叫号用led灯指示对应的病房有呼叫,用静态数码管显示呼叫的病房号,用蜂鸣器发出蜂鸣,有呼叫时蜂鸣3秒。3.3 病床呼叫系统3.3.1病床呼叫系统的组成及原理图病床呼叫系统由74HC148、反相器及与门构成。8线-3线优先编码器74HC148,允许同时输入两个及两个以上的信号,在设计优先编码器时已经将所有的输入信号按优先顺序排了队,当几个输入信号同时出现时,只对优先级别最高的一个进行编码显示。74HC148器件

7、图及其功能表如下: 输 入 输 出EIN0N 1N 2N 3N 4N 5N 6N 7NA0N A1N A2N EON GSN1000000000X X X X X XX X1 1 1 1 1 1 1 1X X X X X XX 0 X X X X X X0 1 X X X X X 0 1 1 X X X X 0 1 1 1 X X X 0 1 1 1 1 X X 0 1 1 1 1 1 X 0 1 1 1 1 1 10 1 1 1 1 1 1 11 1 11 1 10 0 00 0 1 0 1 00 1 11 0 01 0 11 1 01 1 11 10 11 01 01 01 01 01 0

8、1 01 0 正常工作时EIN=0,其中7N0N的优先级依次降低,输出分别对应07,本次设计要求15号病床输入信号时分别显示15,其中1号优先级最高,故15号病床输入分别对应6N2N。又因为74HC148输入低电平有效,因此信号输入端口由反相器和6N2N相连。编码器正常工作,无信号输入时,EON=0,有信号输入时EON=1输出端口A0N,A1N,A2N分别与EON相与后作为编码器的输出信号。这样便可以实现当有病床呼叫时,通过反相器,优先编码器编译成相应的号码;当无信号输入时,EON=0,显示模块显示出000的输出信号。床位呼叫控制系统电路原理图如下: 3.3.2蜂鸣器呼叫时间系统介绍及原理图

9、在有床位呼叫时,蜂鸣器开始响,三秒钟结束,本设计利用74160十六进制计数器控制时间。74160为同步十六进制计数器,通过时钟信号触发从零开始计数,计到十五,进位端输出高电平同时状态回到零重新计数。芯片有异步置零端,和异步置位,可以接成小于十六进制的任意进制计数器,其芯片图和真值表如下:计数器本次设计用1HZ的时钟频率,用一个74160接成3进制计数器,进位端取反与时钟信号相与,接到74160的时钟信号输入端口。当有进位时,即进位输出为1时,取反即为0,与时钟信号相与后仍然是0。由于没有时钟信号的输入,74160停止工作,即停止计数。从开始计数到停止计数时间为三秒钟。病床控制模块中的三个输出端

10、口,取或,输出为Y,既与74160的CLRN(异步置零端)相连,又和74160进位取反后的输出相与后接蜂鸣器。没有病床呼叫时,Y=0,此时蜂鸣器不响,74160的初态均为零,进位也为零,取反为1;当有病床呼叫时,Y=1与进位取反相与为1,即蜂鸣器开始响。计数器开始工作,三秒钟之后,进位端输出1,取反为0,与时钟信号相与为0,计数器停止工作,同时,蜂鸣器停止蜂鸣。直到下一次病床呼叫再开始工。蜂鸣器呼叫时间控制系统电路图如下:3.3.3系统显示及原理图系统显示包括LED灯显示和数码管显示两部分。 LED灯显示模块LED灯显示模块电路图如下:当有病床呼叫时,通过病床呼叫控制模块输出相应的二进制病床号

11、001101,通过74138 3线8线译码器译出Y1Y5相应的高低电平,控制LED 灯的亮灭。Y1Y5中某个低电平时对应LED15中某个灯亮。74HC138芯片介绍:74HC138为3线-8线译码器,将输入的一组二进制代码转换为一组与输入代码一一对应的高低电平信号74HC138及其功能表如下: 输 入 输 出G1G2AN+G2BNC B AY0N Y1N Y2N Y3N Y4N Y5N Y6N Y7N0X11111111 X 1 0 0 0 0 0 000X X XX X X0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 1 1 1 1 1 1 11 1 1

12、 1 1 1 1 10 1 1 1 1 1 1 11 0 1 1 1 1 1 11 1 0 1 1 1 1 11 1 1 0 1 1 1 11 1 1 1 0 1 1 11 1 1 1 1 0 1 11 1 1 1 1 1 0 11 1 1 1 1 1 1 0数码管显示模块病床呼叫控制模块的输出端,通过管脚锁定,与试验箱数码管相连接,显示呼叫的病床号码。3.3.4蜂鸣器模块蜂鸣器模块含有一个蜂鸣器和一个线跳器,当使用蜂鸣时,此跳线短接。同时独立扩展下载板CPLD/FPGA的JP2/CF的SPEAKER接高电平时,蜂鸣器工作。将系统输出端口S经管脚锁定到“CPLD/FPGA扩展板”的PIN38号

13、管脚如下图所示。输出端S高电平时蜂鸣器工作。系统原理图连接如下:3.4仿真波形图3.5管脚锁定连接好的原理图的输入与输出端口必须经过管脚锁定与“CPLD/FPGA扩展板”相连接,下边简要介绍床呼叫系统的管脚锁定3.5.1输入端口管脚锁定 输入端口15管脚锁定 时钟信号输入管脚锁定3.5.1输出端口管脚锁定 数码管输出信号管脚锁定 LED灯输出信号管脚锁定 蜂鸣器输出端口管脚锁定第四章 结 论在得到这个课题后,通过翻阅相关书籍,积极的思考以及用MAX+PLUS II软件进行电路设计,电路波形仿真,管脚锁定,芯片连接等过程,实现了课程设计的要求。当有病床在呼叫时,对应病床的LED灯就会变亮,假如一

14、号病人按下开关,则一号灯就会变亮,以提示医务工作人员是一号床位的病人需要得到救助,同时,数码管会显示对应的病床号。若二号先按下开关,则二号的灯会变亮,同时数码管会显示2,若此时优先级高于二号的一号床位的病人也按下了拨码开关,则二号的LED灯就会熄灭,同时一号的LED灯会变亮。若优先级是由一号到五号依次降低,则在同时有两个至五个病人按下拨码开关时,优先级最高的LED灯会亮,直到医务人员去关掉它,数码管也只会显示优先级最高的床位号,同时蜂鸣器会蜂鸣三秒钟然后停止发声。 在成功的进行验箱后,可以说基本的完成了课程设计的功能要求。第五章 心得体会一周的EDA课程设计,让我对Max Plus II软件的

15、使用有了基本的掌握,在对题目的分析过程中,对以前所学的知识也有个回顾的过程而且对相关内容有个更深层级的理解和领悟。在使用Max Plus II软件画电路图、检测电路及电路仿真的过程中,对自己将理论知识运用到实际已经形成严谨思维都有很大的帮助。通过翻阅相关资料,对组合逻辑电路设计,时序逻辑电路设计有了更进一步的认识。验箱过程也对自己的动手能力有了一定程度的锻炼。 在设计的过程中,遇到了不少问题,同学的讲解给我了很大的帮助,让我进一步认识到了同学的帮助及相互合作的重要性。这个课程设计对自己的思考及动手能力的提高有一定的帮助,希望学校以后能够提供更多类似的机会,让我们在步入社会之前得到最大程度的锻炼

16、。参考文献1. 阎 石 数字电子技术基础 高等教育出版社 2006年5月2. 周莲莲 郑兆兆 李艳艳 EDA课程设计B指导书 2010年 燕山大学课程设计评审意见表指导教师评语:该生学习态度 (认真 较认真 不认真) 该生迟到、早退现象 (有 无)该生依赖他人进行设计情况 (有 无)平时成绩: 指导教师签字: 2011年 3月 18日图面及其它成绩:答辩小组评语:设计巧妙,实现设计要求,并有所创新。 设计合理,实现设计要求。 实现了大部分设计要求。 没有完成设计要求,或者只实现了一小部分的设计要求。 答辩成绩: 组长签字: 2011 年 3 月 18日课程设计综合成绩:答辩小组成员签字: 2011年 3月 18 日第 18 页 共 18页

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 信息产业


经营许可证编号:宁ICP备18001539号-1