基于Verilog的UART模块的设计--课程设计.doc

上传人:李主任 文档编号:3264629 上传时间:2019-08-07 格式:DOC 页数:27 大小:537.52KB
返回 下载 相关 举报
基于Verilog的UART模块的设计--课程设计.doc_第1页
第1页 / 共27页
基于Verilog的UART模块的设计--课程设计.doc_第2页
第2页 / 共27页
基于Verilog的UART模块的设计--课程设计.doc_第3页
第3页 / 共27页
基于Verilog的UART模块的设计--课程设计.doc_第4页
第4页 / 共27页
基于Verilog的UART模块的设计--课程设计.doc_第5页
第5页 / 共27页
点击查看更多>>
资源描述

《基于Verilog的UART模块的设计--课程设计.doc》由会员分享,可在线阅读,更多相关《基于Verilog的UART模块的设计--课程设计.doc(27页珍藏版)》请在三一文库上搜索。

1、 电子课程设计实践报告题 目:基于Verilog的UART模块的设计 班 级: 信科07-4班 学 号: 08073653 姓 名: 姚万华 指导教师: 孙统风 中国矿业大学计算机学院2010-6-2摘 要UART(即 Universal Asynchronous Receiver Transmitter通用异步收发器)是广泛使用的串行数据传输协议。UART允许在串行链路上进行全双工的通信。通过应用 EDA技术,基于 CPLD/ FPGA器件设计与实现 UART的波特率产生器、UART发送器和接收器及其整合电路,目的是熟练运用 Verilog HD语言,掌握 CPLD芯片的使用。波特率发生器、

2、接收器和发送器是UART的三个核心功能模块,利用Verilog HDL语言对这三个功能模块进行描述并加以整合,通过MaxPlusII10.01仿真,用串口调试助手进行验证,其结果完全符合UART协议的要求和预期的结果。关键词:UART;串行通讯;VerilogHDL;CPLD;仿真目 录第1章 课题概述31.1 课题背景21.2 课题目的与意义21.3 报告组织结构2第2章 相关理论与技术32.1 UART相关内容简介32.1.1复杂可编程逻辑器件CPLD简介32.1.2 RS-232介绍32.1.3 VerilogHDL简介62.2 UART协议介绍62.3 硬件结构设计82.4软件设计9第

3、3章 课题详细设计与实现93.1UART的整体设计93.2 波特率发生器113.2.波特率的分频因子的计算113.3 接收模块设计133.3.1接收模块原理133.3.2接收模块的源程序143.4 发送模块设计53.4.1发送模块设计原理53.4.2发送模块源程序63.5功能的测试11结 论11参考文献1212第1章 课题概述1.1 课题背景UART协议是数据通信及控制系统中广泛使用的一种全双工串行数据传输协议,在实际工业生产中有时并不使用UART的全部功能。只需将其核心功能集成即可。波特率发生器、接收器和发送器是UART的三个核心功能模块,利用Vefilog-HDL语言对这三个功能模块进行描

4、述并加以整合UART(即Universal AsynchronousReceiver Transmitter 通用异步收发器)是广泛使用的串行数据传输协议。UART允许在串行链路上进行全双工的通信。串行外设用到RS232-C异步串行接口,一般采用专用的集成电路即UART实现。如8250、8251、NS16450等芯片都是常见的UART器件,这类芯片已经相当复杂,有的含有许多辅助的模块(如FIFO),有时我们不需要使用完整的UART的功能和这些辅助功能。或者设计上用到了FPGA/CPLD器件,那么我们就可以将所需要的UART功能集成到FPGA内部。使用VHDL或Veriolog -HDL将UAR

5、T的核心功能集成,从而使整个设计更加紧凑、稳定且可靠。本文应用EDA技术,基于FPGA/CPLD器件设计与实现UART。1.2 课题目的与意义实际应用上,有时我们不需要使用完整的UART的功能和这些辅助功能。使用Verilog-HDL将所需要的UART的核心功能集成到FPGACPLD内部,就可以实现紧凑、稳定且可靠的UART数据传输。这样,既可以满足实际的应用,实现所要求的简单的通信和控制,又能够去除更多不需要的繁杂复杂的功能实现。1.3 报告组织结构第一章介绍课题的背景目的及意义,同时介绍了整个课题报告的组织形式。第二章介绍UART协议和硬件结构;以及RS232的简要介绍。简要介绍了课题所用

6、的语言Verilog HDL语言。第三章是课题的详细设计,分为波特率分频因子的计算,接收模块和发送模块。每一部分分为设计原理和源程序。最后是结论和参考文献。第2章 相关理论与技术2.1 UART相关内容简介2.1.1复杂可编程逻辑器件CPLD简介CPLD是 Complex PLD的简称, 顾名思义, 其是一种较PLD为复杂的逻辑元件。CPLD是一种整合性较高的逻辑元件。由于具有高整合性的特点, 故其有性能提升, 可靠度增加,PCB面积减少及成本下降等优点。CPLD元件,基本上是由许多个逻辑方块(Logic Blocks) 所组合而成的。而各个逻辑方块均相似于一个简单的PLD元件( 如 22V1

7、0) 。逻辑方块间的相互关系则由可变成的连线架构, 将整个逻辑电路合成而成。常见的CPLD元件有 Altera 公司的Max5000 及Max7000 系列。Cypress的 Max340 及Flash370 系列等, 一般来说, CPLD元件的逻辑门数约在10007000 门之间。【1】2.1.2 RS-232介绍RS232接口,就是普通电脑后面那个串口.一般为9针的,也有25针的.是1970年由美国电子工业协会(EIA)联合贝尔系统、调制解调器厂家及计算机终端生产厂家共同制定的用于串行通讯的标准。它的全名是“数据终端设备(DTE)和数据通讯设备(DCE)之间串行二进制数据交换接口技术标准”

8、(RS-232C,其中C表示此标准修改了三次).标准中包括了电气和机械方面的规定.该标准规定采用一个25个脚的 DB25连接器,对连接器的每个引脚的信号内容加以规定,还对各种信号的电平加以规定.后来随着设备的不断改进,成了目前大家普遍见到的9脚.【2】在不使用传输控制信号的情况下,用3根线就可以传输了,9芯的是2收3发5地,25芯的是2发3收7地。两设备用RS232相连的时候为收发,发收,地地。接口特性: (1)接口的电气特性:在RS-232C中任何一条信号线的电压均为负逻辑关系。即:逻辑“1”,-5 -15V;逻辑“0” +5 +15V 。噪声容限为2V。即要求接收器能识别低至+3V的信号作

9、为逻辑“0”,高到-3V的信号作为逻辑“1” (2) 接口的物理结构: RS-232-C接口连接器一般使用型号为DB25的25芯插头座,通常插头在DCE端,插座在DTE端. 一些设备与PC机连接的RS-232C接口,因为不使用对方的传送控制信号,只需三条接口线,即“发送数据”、“接收数据”和“信号地”。所以采用DB-9的9芯插头座,传输线采用屏蔽双绞线。 (3) 传输电缆长度由RS-232C标准规定在码元畸变小于4%的情况下,传输电缆长度应为50英尺.其实在一般应用中,传输距离小于50m,最大传输速率为20kbps.由于RS-232-C接口标准出现较早,难免有不足之处,主要有以下四点: (1)

10、接口的信号电平值较高,易损坏接口电路的芯片,又因为与TTL 电平不兼容故需使用电平转换电路方能与TTL电路连接。 (2)传输速率较低,在异步传输时,波特率为20Kbps。 (3)接口使用一根信号线和一根信号返回线而构成共地的传输形式,这种共地传输容易产生共模干扰,所以抗噪声干扰性弱。 (4)传输距离有限,最大传输距离标准值为50英尺,实际上也只能用在50米左右。 RS232的接口芯片 单片机的串口是TTL电平,在实际应用时,需要将TTL电平转换成RS232电平。RS232的接口芯片很多,最著名的当然是maxim公司的max232;跟这个芯片完全兼容的芯片很多,象LINEAR公司的LT1081、

11、LT1181,HARRIS的ICL232等,都是十分著名且常用的芯片,LINEAR的串行接口转换芯片在早期的电路设计中经常可以见到,但是近来好像比较少见了。ICL232似乎比MAX232便宜。看MAX232的原理框图,需要注意到2点:1)需要外接电容0.1u电容,或者1u的胆电解电容或电解电容,有一款232芯片不需要外接电容,但是因为在芯片中做一个达到电容效果的电路是比较难,所以也比较贵;所以一般都选用外接电容的;之所以需要电容,是因为RS232电平是工作在大约9V9V之间,需要电容将5V电压转换成Rs232电平所需要的10V和10V;电路上叫电荷泵,很形象;2) Rs232的逻辑和TTL是正

12、好相反的。在框图上,输入和输出之间的逻辑是反的;对于TTL电平,当没有232信号发出时,是高电平;对于RS232来说,这时,TX端是-89V电压,相对于0V来说;如果有了信号,那就是从+9V-9V交错变化的一系列信号,使用示波器可以看到信号的变化。利用以上的特点,我们可以测试RS232接口电路的好坏。1)判断芯片是否正常,参见MAX232的框图,使用万用表测量2和6脚,只要2脚的电压在8V9V之间、6脚在-8V-9V之间,就基本上可以断定这个芯片是好的;2)在Rs232没有发信号时,看TX端电压为Rs232的高电平,也就是-8V-9V之间,当发信号时,数据在变化,这说明这个Rs232的端口是好

13、的;这个方法也适用于测量本地的串口。早起的RS232接口芯片是MC1488(发送)、MC1489。MC1489因为只有接收,所以是单5V电源工作;MC1488则需要正负12V电源;但是在工控机的板卡中,正负电源不是问题,所以在moxa C168等多串口卡中,仍然使用MC1488、MC1489,价格便宜成本低啊2.1.3 VerilogHDL简介Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。Verilog HDL

14、 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模语言。此外,Verilog HDL语言提供了编程语言接口,通过该接口可以在模拟、验证期间从设计外部访问设计,包括模拟的具体控制和运行。Verilog HDL语言不仅定义了语法,而且对每个语法结构都定义了清晰的模拟、仿真语义。因此,用这种语言编写的模型能够使用Verilog仿真器进行验证。语言从C编程语言中继承了多种操作符和结构。Verilog HDL提供了扩展的建模能力,其中许多扩展最初很难理解。但是,Verilog HDL语言的核心子集非常易于学

15、习和使用,这对大多数建模应用来说已经足够。当然,完整的硬件描述语言足以对从最复杂的芯片到完整的电子系统进行描述。2.2 UART协议介绍基本的UART通信只需要两条信号线(RxD,TxD)就可以完成数据的全双工通信任务。TxD是UART发送端,为输出;RxD是UART接收端,为输人【3】。UART的基本特点是:在信号线上共有两种状 态,分别用逻辑1(高电平)和逻辑O(低电平)来区分。例如,在发送器空闲时,数据线保持在逻辑高电平状态,发送器是通过发送起始位来开始一个数据帧的传送,起始位使数据线处于逻辑O状态,提示接收器数据传输即将开始。接着发送数据位,数据位一般为8位一个字节的数据(也有5位、6

16、位或7位的情况),低位(LSB)在前,高位(MSB)在后。然后发送校验位,校验位一般用来判断传输的数据位有无错误,一般是奇偶校验。在使用中,该位常取消。停止位在最后,用以标识数据传送的结束,它对应于逻辑1状态。UART的帧格式包括起始位(start bit,低电平)、58位数据位(data bits)、校验位(parity bit,可选)和停止位(stop bit,位数可为1、15、2位)。这种格式是由起始位和停止位来实现字符的同步H1,如图l所示。2.3 硬件结构设计2.4软件设计软件采用 Altera公司的 MAX+PLUS设计逻辑结构,设计的内容包括通用 I/O地址译码器、各个寄存器以及

17、 UART核。UART核主要包括 3个部分:波特率发生器、数据发送部分和数据接收部分。 I/O地址译码器和 UART核使用硬件描述语言 Veriloge-HDL来编写实现。第3章 课题详细设计与实现3.1UART的整体设计3.2 波特率发生器3.2.波特率的分频因子的计算产生波特率的主信号频率是越高越好,这样才可产生较高且精确的波特率。若是以 40MHz主频率要产生 9600 波特率则必须将此clock= 40MHz除以 4166. 66666不是整数,取一个最接近的数是 4166 则波特率为 9601. 53 ,其误差小于 00. 1 %。假如除数为 4160 则波特率为 40 000 00

18、0/4160 = 9615.38其误差率为0.16 %。这里取DIV_CLK=4167电路的时序模拟如图 2所示。分频模块的程序流程图3.3 接收模块设计3.3.1接收模块原理接收不是由本机启动,而是由一个外部事件触发的。在空闲状态时,若接收线上出现一个下降沿,即视为一个可能的起始位,应该对其再次采样加以确定。一个真实的起始位,其低电平维持时间为104.3ns。我们在起始位的中间时刻,以波特率的16倍频对接收线采样三次,经多数表决确认其电平状态。状态为高,表明是一个干扰信号,UART仍回到空闲状态。若确认接收线的状态为低,则这个起始位得到确认,UART开始接收后续数据。每一位的接收方式与起始位

19、确认方式一样,UART对数据接收的时序图参见图4。接收完毕UART又回到空闲状态。如果最后的停止位出错,则这一帧数据接收失败,放弃接收到的数据。UART的接收状态转换图见图3。 3.3.2接收模块的源程序timescale 1ns/1nsmodule receiver(data_out,data_ready,framing_error,parity_error,serial_in,clock,reset);output data_ready; /数据接收完毕output 7:0data_out;/输出数据总线output framing_error;/帧错误信号1output parity_e

20、rror; /验错误信号1input serial_in; /串行数据输入input clock; /输入时钟input reset; /复位信号1有?/input read_strobe; /读锁存信号0有?初始值为1parameter BAUD_CNT=4;/波特率输入数据,数字代表接收时钟分频40MH,分频系数4166parameter rvBAUD_CNT=2;reg serial_in1;reg serial_in2;reg rxclk_enable;reg 2:0 clk_div;reg 1:0 rvclk_div;reg 7:0 rsr;reg 7:0 rbr;reg 3:0 c

21、ontrol_cnt;reg data_ready;reg parity;reg parity_error;reg framing_error; wire rxclk; /接收时钟1有?wire read_strobe; /读锁存信号0有?初始值为1/输入数据寄存器,用于下降沿检查always (posedge clock)beginserial_in1=serial_in;serial_in2=serial_in1;end/接收时钟使能,在时钟使能范?内产生接受时钟并对输入数据采样always (posedge clock or posedge reset)begin if(reset) b

22、egin rxclk_enable=1b0; / parity=1b0; end else if(!serial_in1&serial_in2) /检查下降沿 rxclk_enable=1b1; else if(control_cnt=10) /数据接收完毕 begin rxclk_enable=1b0; / parity=(BAUD_CNT-1) clk_div=0; else clk_div=clk_div+1; end end endassign rxclk=(clk_div=BAUD_CNT-1) ? 1:0;always (negedge clock) begin if(rvclk_

23、div=rvBAUD_CNT-1) rvclk_div=0; else rvclk_div=rvclk_div+1;endassign read_strobe=(rvclk_div=rvBAUD_CNT-1) ? 1:0;/*接收数据进程: rsr:接收移位寄存器 rbr:接收缓冲寄存器*/always (posedge rxclk or posedge reset) if(reset) begin rsr=8b0; rbr=8b0; parity=1&control_cnt=8) begin rsr7=serial_in; rsr6:0=rsr7:1; /移位 parity=parityrs

24、r7;/产生校验码 end else if (control_cnt=9) begin rbr=rsr; /缓冲 end else if(!parity) parity_error=1b1; /产生校验信息 else if (control_cnt=10)&(serial_in!=1b1) framing_error=1b1; /产生侦错误信号 else framing_error=1b0; endassign data_out=!read_strobe ? rbr : 8bz; /并行数据输出endmodule3.4 发送模块设计3.4.1发送模块设计原理以波特率频率产生器的txclk将数据

25、data_in以write_strobe控制信号将其送入发送缓冲寄存器 rbr ,并令寄存器内容已载有数据而非空的标志 rsr= 0。当同步波特率信txclk来临时监视是否处于rsr= 0(内有数据)以及rsr= 0(内有送入数据) ,但因 rsr以送入传输寄存器 rbr内,为空故rsr = 1 ,此rsr代表缓冲寄存器rbr是否有被送入数据或已转送入传输寄存器,rbr是否为空,可再予以送入新的要发送的数据。假如rsr= 0(内有送入数据)则便要开始进行数据串行传输,传出数据为8位,连同启动信号“0”、校验位、停止信号共需12位的发送计数,以control_cnt作计数。当control_cn

26、t = 0计数器便开始递加计数字节,同时令 Start起始信号为 0 ,送入 TxD输出端输出。而在计数器为18时都将rbr的最低位rbr(0)输出到 TxD端,并令 rbr 作算术右移运算。依次将rbr 的D7D0通过D0移到 TxD端输出,直到第 12位是停止移位,并将停止位 TxD = 0发送而结束一个8位数据的发送。发送流程图如图5。对应的发送模块的模拟时序则如图6所示。3.4.2发送模块源程序timescale 1ns/1nsmodule transmit(data_in,transmiting,reset,clock,serie_out);output transmiting; /

27、表示正在发送output serie_out; /串行输出数据input 7:0 data_in; /并行输入数据input clock;input reset;parameter BAUD_CNT=4; /代表波特率输入数据,数字代表接收时钟的分频数parameter txBAUD_CNT=2;reg transmiting;reg txclk_enable;reg 7:0 tsr; /发送移位寄存器reg 7:0 tbr; /发送缓冲寄存器reg parity;reg 2:0 clk_div;reg 1:0 txclk_div;wire txclk;wire write_strobe; /

28、写锁存信号reg serie_out;reg 3:0 control_cnt;reg write_strobe1;reg write_strobe2;/initial begin txclk_enable=1b0; end/always #10 write_strobe=write_strobe;/写入控制信号寄存器,用于下降沿always (posedge clock) begin write_strobe1=write_strobe; write_strobe2=write_strobe1; end/发送时钟使能,在时钟使能和范围内产生发送时钟,发送适中的数据和此时钟同步always (p

29、osedge clock or posedge reset) if(reset) begin transmiting=1b0; txclk_enable=1b0; end / else if(!txclk_enable) / begin else if(!write_strobe1&write_strobe2) /检测下降沿 begin txclk_enable=1b1; end / end else if(control_cnt=1) begin transmiting=1; end else if(control_cnt=12) begin txclk_enable=1b0; transm

30、iting=1b0; / parity=(BAUD_CNT-1) clk_div=0; else clk_div=clk_div+1; end endendassign txclk=(clk_div=BAUD_CNT-1)? 1:0; always (negedge clock)begin if(txclk_div=(txBAUD_CNT-1) txclk_div=0; else txclk_div=txclk_div+1;endassign write_strobe=(txclk_div=txBAUD_CNT-1)? 1:0;/*发送数据进程tsr:transmit serial regis

31、ter;tbr:transmit buffer register*/always (negedge txclk or posedge reset) if(reset) begin serie_out=1b1; parity=1b0; /偶检验,如果是奇校验设parity=1b1; tsr=8b0; end else begin if(control_cnt=1) begin tsr=tbr; end else if(control_cnt=2) serie_out=3)&(control_cnt=10) begin tsr6:0=tsr7:1; tsr7=1b0; serie_out=tsr0

32、;/发送串行数据,LSB parity=paritytsr0;/计算校验位 end else if(control_cnt=11) begin serie_out=parity; /发送校验位 end else if(control_cnt=12) /发送停止位 begin serie_out=1b1; parity=1b0; end endendmodule3.5功能的测试本课题中设计的所有模块均采用Verilog-HDL硬件描述语言进行描述,在Altera公司的MAXPLUS II开发环境下进行程序的编译、逻辑综合和仿真以及管脚分配,在CPLDEE-4系统上进行验证。CPLDEE-4型实验

33、开发系统简介 本设计采用中国矿业大学信息与电气工程学院制造的CPLDEE-4型实验开发系统,其CPLD芯片为Altera公司的ACEX EP1K100QC208-3。主要配有:(1)时钟源。本实验器CPLD芯片由40MHz晶振提供振荡频率,接于P183管脚。(2)10个数码管(包括2个并行扫描数码管和8个串行扫描数码管)。(3)16个数据开关,4个脉冲开关,脉冲开关和数据开关可以配合使用,也可以单独使用。其中4个脉冲开关分别对应P94-P97管脚,而16个数据开关分别对应P94-P104和P111-P116管脚。(4)RS-232接口。TXD对应P182管脚,而RXD对应P93管脚。UART和

34、外部器件之间的通讯接口主要有4个,分别为时钟信号CLK,复位信号RESET_N,RS-232数据接收端RXD,RS-232数据发送端TXD。管脚分配为将CLK分配到183脚,RESET分配到94脚,RXD分配到182脚,TXD分配到93脚。结 论按照设计的要求,利用本模块与 PC机的 UART实现通信,PC机的 UART口有,本文设计的模块只能工作在应用比较普遍的方式 1,实现全双工的通信。还可以通过设置波特率发生器的寄存器设置工作的波特率,以适应各种不同的场合。在 CPLD逻辑空间允许的前提下还可以加入许多功能,比如先进先出缓存器 (FIFO)。所设计的模块达到设计的目的和要求,具有一定的工

35、程实际价值。本文介绍了用软件方式构建UART的一种方法本设计完全采用Verilog-HDL语言进行描述。实现的UART设置了时钟输入clock,复位输入 reset ,串行数据输入serial_in,读写锁存信号输入read_strobe和write_strobe、波特率因子输入BAUD_CNT ,串行发送数据输出serial_out,接收寄存器状态输出data_ready、发送寄存器状态输出 transmiting以及数据总线 data。并详细讨论了采用Verilog-HDL对异步通信接口UART的设计流程,对设计进了功能仿真、综合,以及静态时序分析和时序仿真,最后在 ALTERA 公司生产的 ACEX1K系列的EP1K100QC208-3芯片上下载,完成了在CPLD上实现UART的全过程。下载的结果验证 UART设计的逻辑功能以及时序关系均符合设计要求。参考文献【1】基于 CPLD的 UART设计-刘伟杰1,余 慰2,胥辉旗【2】基于 CPLD实现DSP的 UART设计研究-王永成1,2,党源源3,徐抒岩13,王国辉【3】基于 FPGA/ CPLD的通用异步通信接口UART的设计-姜 宁3范多旺【4】基于VerilogHDL的UART串行通讯模块设计及仿真-扈

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 信息产业


经营许可证编号:宁ICP备18001539号-1