课程设计-基于CPLD的数字锁设计.doc

上传人:西安人 文档编号:3292371 上传时间:2019-08-08 格式:DOC 页数:24 大小:227.01KB
返回 下载 相关 举报
课程设计-基于CPLD的数字锁设计.doc_第1页
第1页 / 共24页
课程设计-基于CPLD的数字锁设计.doc_第2页
第2页 / 共24页
课程设计-基于CPLD的数字锁设计.doc_第3页
第3页 / 共24页
课程设计-基于CPLD的数字锁设计.doc_第4页
第4页 / 共24页
课程设计-基于CPLD的数字锁设计.doc_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《课程设计-基于CPLD的数字锁设计.doc》由会员分享,可在线阅读,更多相关《课程设计-基于CPLD的数字锁设计.doc(24页珍藏版)》请在三一文库上搜索。

1、湖南工程学院课 程 设 计 任 务 书课程名称: 嵌入式系统 题 目:基于CPLD的数字锁专业班级:电子信息0781 学生姓名: 指导老师: 审 批: 任务书下达日期 2011 年01月02 日设 计 完成日期 2011 年 01月21日 设计内容与设计要求CPLD为复杂可编程逻辑器件,通过EDA技术对其进行编程,可将一个较复杂的数字系统集成于一个芯片中,制成专用集成电路芯片,并可随时在系统修改其逻辑功能。有关知识可参见相关教材或参考书。一设计内容设计一个二位十进制数字锁,并验证其操作。具体要求如下:(1) 开锁代码为二位十进制数,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时

2、,方可开锁,并点亮开锁指示灯LT。否则,系统进入“错误”状态,并发出报警信号。(2) 开锁程序由设计者确定,并要求锁内给定的密码是可调的,且预置方便,保密性好。(3) 并行数字锁的报警方式是点亮指示灯LF,并使喇叭鸣叫来报警,直到按下复位开关,报警才停止。此时,数字锁又自动进入等待下一次开锁的状态。二、设计要求:1、 设计思路清晰,给出整体设计框图;2、 在MAX+PLUS中设计各单元电路,完成其功能仿真和编译并生成低层模块;3、 在MAX+PLUS中完成顶层设计并编译通过;4、 在MAX+PLUS中完成设计下载并调试电路;5、 写出设计报告;主要设计条件1. 提供EDA实验室;2. 提供EL

3、实验箱和CPLD芯片;3. 提供ALTERA公司的MAX+PLUS10.1设计软件说明书格式1. 课程设计封面;2. 任务书;3. 说明书目录;4. 设计总体思路,基本原理和框图(顶层电路图);5. 单元电路设计(各次级模块电路图);6. 设计仿真;7. 编程下载;8. 总结与体会;9. 附录;10. 参考文献。进度安排星期一、二:下达设计任务书,介绍课题内容与要求;介绍MAX+plus软件的使用;查找资料,确定总体设计方案和单元电路设计;星期三第二周星期一:单元电路设计与仿真,硬件下载;第二周星期二、三:硬件下载;第二星期四、五:书写设计报告,打印相关图纸;答辩参考文献1. 康华光主编.电子

4、技术基础(数字部分),高等教育出版社。2. 阎石主编. 电子技术基础(数字部分),清华大学出版社。3. 陈大钦主编,电子技术基础实验,高等教育出版社。4. 彭介华主编,电子技术课程设计指导,高等教育出版社。5. 张 原编著,可编程逻辑器件设计及应用,机械工业出版社。6.荀殿栋,徐志军编著,数字电路设计实用手册,电子工业出版社。7. MAX+PLUS入门8.刘洪喜,陆颖编著. VHDL电路设计实用教程 清华大学出版社 目 录一.设计总体思路61.1基本原理61.2总设计框图6二.单元电路设计及模块仿真72.1消除抖动模块72.2键盘扫描模块92.3七段数码显示电路模块102.4译码电路模块122

5、.5 密码控制电路模块.152.6 总电路17三.编程下载18四.总结与体会21五.参考文献22一、总体设计思路1.1基本原理:本次设计我把逻辑功能划分为两个大的模块:控制部分和处理部分。控制模块是整个系统的控制核心 ,负责接收其模块传来的输入信号 ,再根据系统的功能产生相应的控制信号送到相关的模块。具体操作如下:密码预先存入寄存器中,开锁时,输入密码存入另一寄存器中,当按下“确定”键时,启动比较器,比较两个寄存器中的内容,当结果相同时M1,LT绿灯亮,并开锁;当结果不同时M0,LF红灯亮,不开锁。用户需要修改密码时,先开锁,再按“设定密码”,清除预先存入的密码,通过键盘输入新的2位十进制数,

6、然后再按下确定键完成操作。1.2总设计框图如下:键盘译码开锁LED显示输入密码寄存器键盘消抖密码预存寄存器绿灯亮键盘扫描红灯亮报警M=1消除密码输入两位十进制数密码修改YN二、单元模块设计2.1 消除抖动模块我们使用的按键通常都是机械弹性开关,当机械触点断开、闭合时,由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。因而在闭合及断开的瞬间均伴随有一连串的抖动(如下图所示)。然而,抖动时间的长短由按键的机械特性决定,一般为5ms10ms。这是一个很重要的时间参数,在很多场合都要用到。在这里,我们用软件去除抖动。当检测到键闭合时,执行一个延时程序产生数毫秒的

7、延时,让前沿弹跳消失后再检测闭合;当发现键松开后,也给数毫秒的延时,当后沿弹跳消失后,再检测下一个键的输入。或者当前沿弹跳消失后,将检测到的信号所存,然后再输出,这样就不需要后沿延时了。消除抖动的电路封装图如下:程序如下:LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; LIBRARY altera; -抖动 USE altera.maxplus2.ALL; ENTITY lwdou IS PORT ( CLK,lwin : IN S

8、TD_LOGIC; lwout : OUT STD_LOGIC ); END ; ARCHITECTURE a OF lwdou IS signal Vcc, INV_B : std_logic ; signal A0, A1 : std_logic ; signal B0, B1 : std_logic ; BEGIN Vcc = 1 ; INV_B Vcc , q = A0 , clk = CLK, prn = Vcc, clrn = INV_B ); dff2 : dff PORT MAP (d =Vcc , q = A1 , clk = CLK, prn = Vcc, clrn = I

9、NV_B ); process (CLK) begin if CLKEVENT and CLK=1 then B0 = not A1; B1 = B0; end if ; end process ; lwout = not (B1 and not B0); END ;消抖电路的仿真波形图如下:2.2 键盘扫描模块我们在键盘的四列输入口中输入一个低电平时钟扫描,当有按键被打下时,对应的行被键接通也呈现低电平状态,然后将此输入输出信号输出到键盘编码就可以进行键盘按键识别了。键盘扫描封装图如下:该模块程序如下:LIBRARY IEEE; -键盘扫描 USE IEEE.std_logic_1164.A

10、LL; USE IEEE.STD_LOGIC_ARITH.ALL ; USE IEEE.STD_LOGIC_UNSIGNED.ALL ; ENTITY LWSM IS PORT ( CLK : IN STD_LOGIC ; SM : OUT STD_LOGIC_VECTOR (4 downto 1) ); END ; ARCHITECTURE a OF LWSM IS Signal M : STD_LOGIC_VECTOR(2 DOWNTO 1); SIGNAL N: STD_LOGIC_VECTOR(4 DOWNTO 1); Begin PROCESS (CLK) Begin IF CLKE

11、vent AND CLK=1 THEN N = N+1; M =N(4 DOWNTO 3); END IF; END PROCESS; SM BT=01;EBT=10;E NULL; END CASE;END PROCESS;PROCESS(CLK) BEGIN IF CLKEVENT AND CLK=1THEN NE=0000;E1=E;LWC(6 downto 0)E=0001;E1=E;LWC(6 downto 0)E=0010;E1=E;LWC(6 downto 0)E=0011;E1=E;LWC(6 downto 0)E=0100;E1=E;LWC(6 downto 0)E=0101

12、;E1=E;LWC(6 downto 0)E=0110;E1=E;LWC(6 downto 0)E=0111;E1=E;LWC(6 downto 0)E=1000;E1=E;LWC(6 downto 0)E=1001;E1=E;LWC(6 downto 0)LWCOLWCOE=0000;E1=E;LWC(6 downto 0)F=0000;F1=F;LWD(6 downto 0)F=0001;F1=F;LWD(6 downto 0)F=0010;F1=F;LWD(6 downto 0)F=0011;F1=F;LWD(6 downto 0)F=0100;F1=F;LWD(6 downto 0)F

13、=0101;F1=F;LWD(6 downto 0)F=0110;F1=F;LWD(6 downto 0)F=0111;F1=F;LWD(6 downto 0)F=1000;F1=F;LWD(6 downto 0)F=1001;F1=F;LWD(6 downto 0)E=0000;E1=E;LWD(6 downto 0)=0111111; END CASE; END IF; END PROCESS;END;译码电路的仿真波形图如下:2.5 密码控制电路模块密码控制电路是本次课题设计的核心部分,我们通过密码控制来断定数字锁的开或关。当密码输入时,按下确定键,输入数字和所存的密码进行比较,若相同则

14、进入开锁状态。若输入密码不正确则立刻进入报警状态,然后按下清零键,重新输入密码。密码控制封装图如下:密码控制程序如下:LIBRARY IEEE;-控制模块USE IEEE.STD_LOGIC_1164.ALL;ENTITY LWCZ IS PORT(LW_IN:IN STD_LOGIC_VECTOR(3 DOWNTO 0); LL_IN:IN STD_LOGIC_VECTOR(3 DOWNTO 0); WW_IN:IN STD_LOGIC_VECTOR(3 DOWNTO 0); A,B:OUT STD_LOGIC);END ;ARCHITECTURE luoji OF LWCZ IS SIGN

15、AL G,H:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL S,EN,EN1: STD_LOGIC; SIGNAL COUNT1,COUNT2: STD_LOGIC:=0; SIGNAL CO: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS(WW_IN) begin IF WW_IN=1011THEN EN1=1; ELSIF WW_IN=1010THEN EN=0;EN1=0; END IF;if(S=1)and(en=1)and(count1=0) then G(3 downto 0)=LW_IN(3 downto 0)

16、; H(3 downto 0)=LL_IN(3 downto 0); count1=not(count1); end if;if(en1=1)and(count2=0) then if(LW_IN(3 downto 0)= G(3 downto 0) and LL_IN(3 downto 0)=H(3 downto 0) then A=1;EN=1;s=1; else A=0;B=1; end if; count2=not(count2);ELSif(en=0)and(en1=0) then count1=0;count2=0;A=0;s=0;B=0; end if;END PROCESS ;

17、END;2.6总匡图如下:3、 编程下载MAX+PLUS 支持Altera公司的FLEX10K,FLEX10KA,FLEX8000,MAX9000,MAX7000,Classic等系列可编程逻辑器件。 4.1选择器件 应参照设计电路的规模,所需工作速度等诸多因素对器件进行选择。这里以将上述电路下载到FLEX10K系列器件中的EPF10K10LC84为例进行说明。 (1)返回到编辑窗口 (2)在主菜单Assign中选择Device项,打开器件选择对话框 (3)单击Device Family 区的下拉列表,选择FLEX10K系列(4)在Devices的下拉列表中选择EPF10K10LC84 (5)

18、选择OK 。对话框关闭即完成器件选择 4.2管脚锁定 管脚锁定即是将所设计的原理图的输入、输出信号定义到实际器件某个具体的管脚,此项工作可以由编译器自动分配,也可以由设计者根据设计要求进行人工分配。若进行人工分配,具体操作步骤如下: (1)在原理图编辑窗中用鼠标右键单击输入或输出信号 (2)在出现的下拉菜单中选择AssignPin/Location/Chip ,出现Pin/Location/Chip对话框 (3)在Node Name项中显示的应是待锁定管脚的输入或输出信号,在Pin项中键入想要锁定的管脚号码 (4)选择OK,则管脚号即分配在所选的输入输出信号上 (5)重复上述步骤,将所有输入输

19、出信号的管脚锁定好总电路匡图如下:(6)在主菜单中,选FileSave保存文件 (7)再次编译项目,通过项目编译后即可生成一个.sof文件,此文件用于下载4.3器件编程/配置 此项工作即是完成将所设计的电路下载到实际器件中,使其具有所设计电路的功能。 在Altera器件中,一类为MAX系列,另一类为FLEX系列。其中MAX系列为CPLD结构,编程信息以EEPROM方式保存,故对这类器件的下载称为编程;FLEX系列有些类似于FPGA,其逻辑块LE及内部互连信息都是通过芯片内部的存储器单元阵列完成的,这些存储器单元阵列可由配置程序装入,存储器单元阵列采用SRAM方式,对这类器件的下载称为配置。因为

20、MAX系列编程信息以EEPROM方式保存,FLEX系列的配置信息采用SRAM方式保存,所以系统掉电后,MAX系列编程信息不会丢失,而FLEX系列的配置信息会丢失,需每次系统上电后重新配置。 使用的器件是EPF10K10LC84,为FLEX系列。下面对其进行配置。 (1)将下载电缆一端插入LPT1(计算机的并行口,打印机口),另一端插入实验系统板,打 开实验系统的电源。 (2) 在主菜单MAX+PLUS 中选择Programmer,出现Programmer对话框。(3)在出现的对话框中单击 configure,即可完成配置。 注:若在软件首次运行时,上述对话框可能皆为灰色,此时可从Options

21、菜单下选择“Hardware Setup”对话框,在“Hardware Type”下拉框中选择“Byte Blaster”,单击“OK”即可。四、总结与体会两周紧张而忙碌的cpld数字锁课程设计完成了,由于对嵌入式系统不熟悉与以前对EDA的学习不够好,任务完成的有些艰难,但在同学们和老师的帮助下,也算不负幸苦。 首先在学习中,我不仅在硬件上又加强了锻炼,对EDA的MAX+PLUS软件构成有了更好的掌握。从开始接到设计题目到系统的实现,每走一步对我来说都是新的尝试与挑战。同时在这次的设计中,我学到了很多知识也有很多感受,从对设计软件MAX+PLUS的一无所知,对EDA、CPLD等相关技术很不了解

22、的状态,我开始了独立的学习和实验,查看相关的资料和书籍,让自己的头脑中模糊的概念逐渐清晰,每一次的改进都是我学习的收获,每一次的实验成功都会让我兴奋好一段时间。 另外,这次课程设计让我感到了团队合作的重要性。在团队中,我们互帮互助,对整个课程设计来说,这是至关重要的,缺少每一个人都会对我们的设计产生影响。还有要感谢陈老师在我们遇到困难时,给予我们的建议与鼓励。 经过这两周的课程设计,自觉确实学到了不少东西,同时也感受到自己知识的欠缺。在以后的学习与工作中,一定要继续坚持不断的学习新兴的专业知识及相关的非专业知识,只有这样才能紧跟时代的潮流。五、参考文献1. 康华光主编.电子技术基础(数字部分)

23、,高等教育出版社。2. 阎石主编. 电子技术基础(数字部分),清华大学出版社。3. 陈大钦主编,电子技术基础实验,高等教育出版社。4. 彭介华主编,电子技术课程设计指导,高等教育出版社。5. 张 原编著,可编程逻辑器件设计及应用,机械工业出版社。6.荀殿栋,徐志军编著,数字电路设计实用手册,电子工业出版社。7. MAX+PLUS入门8.刘洪喜,陆颖编著. VHDL电路设计实用教程 清华大学出版社 电气与信息工程系课程设计评分表项 目评 价设计方案的合理性与创造性硬件制作或软件编程完成情况*硬件制作测试或软件调试结果*设计说明书质量设计图纸质量答辩汇报的条理性和独特见解答辩中对所提问题的回答情况完成任务情况独立工作能力组织纪律性(出勤率)综合评分 指导教师签名:_ 日 期:_ 注:表中标*号项目是硬件制作或软件编程类课题必填内容; 此表装订在课程设计说明书的最后一页。课程设计说明书装订顺序:封面、任务书、目录、正文、评分表、附件(非16K大小的图纸及程序清单)。 24

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 信息产业


经营许可证编号:宁ICP备18001539号-1