通信工程毕业设计(论文)-基于FPGA的交通灯控制系统.doc

上传人:小小飞 文档编号:3293552 上传时间:2019-08-08 格式:DOC 页数:50 大小:450.01KB
返回 下载 相关 举报
通信工程毕业设计(论文)-基于FPGA的交通灯控制系统.doc_第1页
第1页 / 共50页
通信工程毕业设计(论文)-基于FPGA的交通灯控制系统.doc_第2页
第2页 / 共50页
通信工程毕业设计(论文)-基于FPGA的交通灯控制系统.doc_第3页
第3页 / 共50页
通信工程毕业设计(论文)-基于FPGA的交通灯控制系统.doc_第4页
第4页 / 共50页
通信工程毕业设计(论文)-基于FPGA的交通灯控制系统.doc_第5页
第5页 / 共50页
点击查看更多>>
资源描述

《通信工程毕业设计(论文)-基于FPGA的交通灯控制系统.doc》由会员分享,可在线阅读,更多相关《通信工程毕业设计(论文)-基于FPGA的交通灯控制系统.doc(50页珍藏版)》请在三一文库上搜索。

1、 毕 业 设 计(论 文) 基于 FPGA 的交通灯控制系统 系系 别别: :电电子工程系子工程系 专专业业名名称称: :通信工程通信工程 学学生生姓姓名:名: 学学 号:号: 指指导导教教师师姓名、姓名、职职称称: : 完成日期 2009 年 05 月 20 日 毕业设计(论文)任务书 系系 别别 :电子工程系 专专 业业:05 通信工程 学学 生生 姓姓 名名:学学 号:号: 设设计计(论论文文)题题 目目基于 FPGA 的交通灯控制系统 起起 迄迄 日日 期期:2008 年 10 月 1 日2009 年 5 月 30 日 设设计计(论论文文)地地点点:电子科技大学中山学院 指指 导导 教

2、教 师师: 专专业业教教研研室室负负责责人人 : 发任务书日期:2008 年 11 月 15 日 毕毕 业业 设设 计(论计(论 文)任文)任 务务 书书 1.本毕业设计(论文)课题应达到的目的: 通过这次毕业设计,使学生掌握并利用 EDA 的软件编程技术,运用所学的 VHDL 电路设计、电路知识设计系统实现交通灯系统控制,并运用 Quartus进行仿真实现, 检验该方法性能,最后完成基本的交通灯系统控制设计,从而达到提高对移动通信原理 理解能力,增强编程能力,增强实践应用能力。 2.本毕业设计(论文)课题任务的内容和要求(包括原始数据、技术要求、 工作要求等): (1)设计一种交通灯控制系统

3、实现城市十字交叉路口红绿灯的控制。利用基于 VHDL 的 EDA 设计工具,采用大规模可编程逻辑器件 FPGA,通过设计芯片来实现系 统功能 (2)对所设计的交通灯控制系统进行 Quartus仿真实现,验证算法性能。 毕毕 业业 设设 计(论计(论 文)任文)任 务务 书书 3.对本毕业设计(论文)课题成果的要求包括毕业设计、论文、图表、 实物样品等: 毕业设计论文一篇,需符合学校要求; 4.主要参考文献: 1http:/. 2 辛春艳,VHDL硬件描述语言M,北京.国防工业出版社.2002.P3 3 李景华,可编程逻辑器件与 EDA 技术.M.东北大学出版.2000。P243 4刘昌华,数字

4、逻辑 EDA 设计与实践,北京.国防工业出版社,2006.8 5赵丹. 逻辑控制在交通灯上的研究与应用D. 武汉理工大学: ,2008. 6王正勇,. 基于 FPGA 的交通信号控制器的设计J. 电子测量技术,2008,(10). 7李国栋,任志平,. 基于 FPGA 技术的交通灯控制系统设计J. 现代电子技术,2008,(17). 8罗勇,韩晓军,. 基于 FPGA 的交通灯控制与实现J. 电子器件,2008,(3). 9蓝运维,. 基于 FPGA 的交通灯控制系统的仿真J. 现代电子技术,2006,(9). 10David.R.coelho.The VHDL Handbook.Boston

5、:Vantage Analysis.inc,1993. 11Altera Corportation.Max+plusHandbook. 毕毕 业业 设设 计(论计(论 文)任文)任 务务 书书 5.本毕业设计(论文)课题工作进度计划: 起 迄 日 期工 作 内 容 2008.102008.12 学习 VHDL 电路设计、交通技术、EDA 编程知识。 2009.012009.03 研究并分析交通灯系统,用 VHDL 和 Quartus实现并测 试调试,并不断修改完善。 2009.042009.04 进一步的完善软件,并开始着手论文的写作。 2009.052009.05 完善论文的写作及相关程序的

6、整理工作,准备毕业答辩。 指导教师审查意见: 同意实施 指导教师(签名): 2008 年 11 月 10 日 基于 FPGA 的交通灯控制系统 摘要 EDA 技术是依靠功能强大的电子计算机,在 EDA 工具软件平台上,对以硬件描述语言 HDL(Hardware Description Language 为系统逻辑描述手段完成的设计文件,自动地完成 逻辑编辑、化简、分割、综合、优化和仿真,直至下载到 FPGA,实现既定的电子电路设计 功能。EDA 技术使得电子电路设计者的工作仅限于利用硬件描述语言和 EDA 软件平台来完 成对系统硬件功能的实现,极大地提高了设计效率,缩短了设计周期,节省了设计成

7、本。实 现路口交通灯系统的控制方法很多,可以用标准逻辑器件,可编程控制器 PLC,单片机等方 案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增 加了功能修改及系统调试的困难。因此,在设计中采用 EDA 技术,应用目前广泛应用的 Verilog HDL 硬件电路描述语言,实现交通灯系统控制器的设计,利用 Xilinx 公司的 Xilinx ISE8.2i 和 Model-Sim6.1f 软件集成开发环境进行综合、仿真,并下载到 FPGA 中 完成系统的控制作用。 交通灯是城市交通监管系统的重要组成部分,对于保证机动车辆的安全运行,维持城市道 路的顺畅起到了重要作用。

8、目前很多城市交叉路口的交通灯实行的是定时控制,灯亮的时 间是预先设定好的,在时间和空间方面的应变性能较差,一定程度上造成了交通资源的浪 费,加重了道路交通压力。 实现路口交通灯的控制方法很多。本文通过综合分析与比较后确定 EDA 技术为本文欲采 取的最佳设计方案。然后用 VHDL 硬件描述语言分别对各个模块进行参数设计以及软件编 程。将硬件电路与软件编程相结合使得各模块的实现功能变得详实而具体。本文在最后 对各个部分调试过程中出现的问题也进行了描述以及提供了解决的方法。而且给出了部 分模块的波形仿真图. 关键词:可编程逻辑控制(FPGA) ,EDA 技术,VHDL。 Fpga-based Co

9、ntrol System for Traffic Lights Abstract EDA technology is relying on a powerful computer in the EDA software platform, on the hardware description language HDL (Hardware Description Language to describe the logic for the system means the completion of the design documents, automatic completion of t

10、he logic of editing, simplification, segmentation, integration, optimization and simulation, until downloaded to the FPGA, to achieve the established function of electronic circuit design. EDA technology enables designers of electronic circuits work is limited to the use of hardware description lang

11、uage and EDA software platform to complete the function of the realization of system hardware, has greatly improved the design of efficiency and shortened the design cycle, saving the cost of the design. the realization of the junction of traffic signal systems to control many ways, you can use stan

12、dard logic devices, programmable logic controller PLC, single-chip and other programs to achieve. However, such controls the function of modification and commissioning will need the support of hardware circuit, to some extent, an increase of functional changes and system debugging difficulties. Ther

13、efore, in the design of the use of EDA technologies, applications currently widely used Verilog HDL hardware description language, to achieve the traffic light system controller the design, the use of Xilinx Corporation Xilinx ISE8.2i and Model-Sim6.1f software integrated development environment int

14、egrated, simulation, and downloaded to the FPGA in the completion of system control. Traffic lights urban traffic monitoring system is an important part in ensuring the safe operation of motor vehicles, maintenance of city roads played an important role in smoothly. At present, many cities at the cr

15、ossroads of traffic lights is one of timing control, lights the time is pre-set right, in time and space contingency poor performance, to some extent, resulted in traffic waste of resources, increased the pressure on road traffic. To achieve the control of traffic lights at the junction many ways. I

16、n this paper, after a comprehensive analysis and comparison of EDA technology to determine the best of this article For design. And then use the VHDL hardware description language, respectively, the parameters of each module design and software programming. The hardware circuits and software program

17、ming makes the combination of the module features become detailed and concrete. In this paper, in the final of the various parts of debugging problems arising in the course have also been described, as well as provide a solution. And given some of the waveform simulation module map. Key words: progr

18、ammable logic control (FPGA), EDA Technology,VHDL 目 录 1 绪 论 1 1.1 引言 .1 1.2 国内外研究现状 .2 1.3 课题研究的目的和意义 .4 2 VHDL 电路理论 6 2.1 硬件描述语言介绍 .6 2.2 VHDL 语言的发展与特点 7 2.3 VHDL 语言的开发流程 8 2.4 可编程逻辑器件简介 10 2.5 本章小结 11 3 FPGA 的控制系统的设计技术 .12 3.1 可编程逻辑器件以及 EDA 技术 12 3.2FPGA 的概述 12 3.3FLEX10K 系列器件以及 EPF10K10 芯片特点 13 3

19、.4FPGA 基本开发流程与开发工具 14 3.5 单片机控制系统的设计技术 14 3.5.1 单片机的发展以及应用 14 3.5.2 单片机的组成以及特点 16 3.6 EDA 与单片机技术的方案确认 17 3.7 本章小结 18 4 十字路口交通控制器结构设计 19 4.1 系统的功能 19 4.2 十字路口交通控制系统设计 19 4.2.1 设计要求 19 4.2.2 系统设计 19 4.2.3 红绿灯交通控制器的层次化设计方案 20 4.3 本章小结 34 5 总结和展望 35 5.1 总结 35 5.2 工作展望 35 参 考 文 献 36 致 谢 .37 1 1 绪 论 1.1 引

20、言 随着城市化的进程加快和汽车的普及,交通拥挤加剧,事故频发,环境日益恶化,交通 问题己经成为困扰全世界的严重问题。交通秩序的恶化已影响了现代化的城市建设和国 民经济的发展。其中交通灯信号是管理交通网络的最重要元素,而街道各路口又是车辆 通行瓶颈所在。研究车辆的通行规律,根据实际情况制定相应的通行规则对缓解交通阻 塞,提高路口车辆通行的效率具有非常重要的现实意义。本文就是通过对城市十字路口 各交通灯的控制情况进行分析,找出共同的特征和规律,并用逻辑控制的思想制定相应 的交通规则, 以保证各路口车辆的顺利通行。 逻辑控制中引入了语言叙述的“系统陈述”最基本的方法。实际上是试图将“系统陈述” 从自

21、然语言对系统的描述中解放出来,从而形成突出逻辑规律的可运算的符号设计方法。 接近人类思维本身而便于复杂系统设计和电路设计。将逻辑控制用于交通灯的控制中, 用语言的方式将控制规则陈述出来,其过程简单易懂。 20 世纪末,数字电子技术飞速发展,有力地推动和促进了社会生产力的发展和社会信息 化的提高,数字电子技术经渗透到人类生活的各个方面。从计算机到手机,从数字电话 到数字电视,从家用电器到军用设备,从工业自动化航天技术,都尽可能采用了数字电 子技术。现代电子设计技术的核心是 EDA 技术。EDA(电子设计自动化)技术就是以计算 机为工具,在 EDA 软件平台上,对硬件语言 HDL 为系统逻辑描述手

22、段完成的设计文件, 自动的完成逻辑编译、逻辑化简、逻辑综合及优化、逻辑仿真,直至对特定目标芯片的 适配编译、逻辑映射和编程下载等工作(文本选用的开发工具为 Altera 公司的 Quartusll)。 EDA 的仿真测试技术只需要通过计算机就能对所设计的电子系统从各种不同层次的系统 性能特点完成一系列准确的测试与仿真操作,大大提高了大规模系统电子设计的自动化 程度。利用硬件描述语言(如 VHDL)的优势即可以直接从程序中修改错误及系统功能而不 需要硬件电路的支持。 实现路口交通灯系统的控制方法很多,可以用标准逻辑器件,可编程控制器 PLC,单片 机等方案来实现。但是这些控制方法的功能修改及调试

23、都需要硬件电路的支持,在一定程 度上增加了功能修改及系统调试的困难。因此,在设计中采用 EDA 技术,应用目前广泛应 用的 Verilog HDL 硬件电路描述语言,实现交通灯系统控制器的设计,利用 Xilinx 公司的 Xilinx ISE8.2i 和 Model-Sim6.1f 软件集成开发环境进行综合、仿真,并下载到 FPGA 中完 成系统的控制作用。将 EDA 技术用于智能交通灯上,可对交通灯的各种变化情况进行一 一地编程、测试与分析,从而完成对整个十字路口交通灯系统设计。EDA 技术的实现, 极大地提高了设计效率,缩短了设计周期,节省了设计成本。 2 1.2 国内外研究现状 实现路口

24、交通灯系统控制的方法很多,可以用标准逻辑器件、可编程控制器 PLC、单 片机等方案来实现。使用单片机则利用 89C51 为控制核心来实现交通灯智能控制,只能 执行定时算法,以 RSZ 犯或者 RS485 作为通一讯方式;PLC 多半与模糊控制相结合,设计 出模糊控制器;但是这些控制方法的功能修改都需要硬件电路的支持,在一定程度上增加 了设计难度。在国外几个先进的国家已经采用智能方式来控制交通信号,其中主要运用 GPS 全球定位系统等,但相对来说成本较高。不适合国内目前的发展状况。但随着 EDA 技 术的出现,人们可以在没有设计电路之前就把 CPLD 或 FPGA 焊接在印刷电路板上,然后 利用

25、 VHDL 语 言随心所欲地改变整个电路的硬件逻辑关系而不必改变电路板的布局布线。利用 FPGA 的 可重复编程和动态系统重构的特性,大大地提高了数字系统设计的灵活性和通用性。EDA 是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研 制成的电子 CAD 通用软件包,主要能辅助进行三方面的设计工作:IC 设计,电子电路设计, PCB 设计。随着电子技术的发展,EDA 技术己广泛应用于机械、电子、通信、航空航天、 化工、矿产、生物、医学、军事等各个领域。以前,大多采用通用的逻辑元器件进行设 计,这导致了设计和调试过程冗长、系统稳定性不高,非常不便。如今,随着以 FPGA

26、 和 CPLD 为代表的可编程 ASIC 技术的日趋成熟和完善,用户完全可以根据自己的要求,以 EDA 技术作为开发手段,用一块 FPGA 或 CPLD 设计出符合自己需要的芯片。FPGA 在现代 通信领域方面获得广泛应用。 在数字逻辑系统设计中,目前,即 FPGA/CPLD 能够实现从几千门的接口逻辑电路到 数百万门的庞大数字逻辑系统的设计,结合 IP(Intellectual Property)Core(如 USB Core PCI Core 和 DSP core 等)和功能强大的 EDA 软件可以构建出非常复杂的数字电子系 统。Xc9500 系列是 Xi1inx 公司采用创新的 Fast

27、fLASH 技术制造的 CPLD 产品,最高可 完成 1 万门的数字逻辑系统的设汁,目前有 5V、3.3V 和 2.5V3 个版本工作电压,具有特 殊的系统内编程(ISP)能力,编程/擦除的次数较其他公司的 CPLD 高 1 至 2 个数量级。 XC950O 系列器件通过标准的 4 脚 JTAG 协议实现在系统内编程,它的扩展 IEEE 一 1149.1 边界扫描指令集允许器件编程模式扩展和实现系统内诊断。利用 xilinx 公司提供的 Foundation2.11FPGA/CPLD 开发系统和 Xchecker 串行编程电缆可方便地实现数字逻辑系 统的开发和 ISP 在系统编程。FPGA/C

28、PLD 和嵌入式微控制器(如 8051)结合使用可以更灵 活地实现 ISP 在系统编程。 在图像处理方面,现代化生产和科学研究对图像采集系统的要求日益提高,传统的 图像采集卡速度慢,处理功能简单,不能很好地满足特殊要求。因此,我们构建了高速 图像采集系统。它主要包括图像采集模块,图像低级出处理模块以及总线接口模块等。 3 这些 模块是在 FPGA 中利用 VHDL 编程实现的。高速图像采集系统主要用于视觉检测。视觉检 测中图像处理的特点是“底层图像处理算法复杂,数据量小,对于图像地产处理,我们 在高速图像采集系统中用 FPGA 实现,采用 VHDL 编写图像处理算法,对于图像高层处理, 由计算

29、机软件实现。由于 VHDL 设计灵活,编程方便,易于在 FP 以中实现并行运算和流 水线结构,所以高速图像采集系统的速度快,适应性就好。 在生物领域,己故 A.Richard Newton 将微电子制造和设计方法应用到新兴的合成生 物学领域。Newton 是 EDA 产业的创始人,他研究的一个方向是合成生物学,以工程的方 法生产生物有机体的新学科。他去世前曾宣布,未来属于生物设计自动化(bio design automation(BDA)。他认为,合成生物学获得成功需要的要素与使微电子学获得成功的三 个要素相同:可伸缩、可靠的制造工艺;可伸缩的设计方法学;对计算模型的清晰理解。微 电子学的技术

30、能够应用到生物学,反之亦然。他展示了一个生物振荡器,基因制造的一 种蛋白质可用于打开和关闭振荡器。合成生物学要获得成功需要一种真正可伸缩的设计 平台,而这是 EDA 的真正遗产。在计算机处理器设计上,美国 Window Systems 公司正在 推出一款服务器中的处理器竟然是用 Xilinx 公司的即 FPGA 设计成的。这款专为网站运 行而设计的服务器尺寸仅有 DVD 播放机大小,工作能力却相当于甚至超过 50 台戴尔、 IBM 或 SUN 公司售价 5000 美元的服务器,其成本仅为 2.5 万美元。我们知道,传统的个 人电脑及服务器通常都采用英特尔的奔腾处理器或 SUN 计算机系统公司的

31、 S 以 RC 芯片作 为中央处理单元,而 Window Systems 的这一产品却没有采用传统的微处理器,选用现场 可编程门阵列(FPGA)芯片来驱动。尽管 FPGA 芯片的主频速度比奔腾处理器慢,但却可并 行完成多项任务,即微处理器在每一时间节拍(如某一指令周期)中只能执行一条指令, 完成一次操作。因此,其服务器处理的速度比普通服务器快 50 到 300 倍。 美国的 Time Logic 公司也间接受益于 FPGA 芯片。戴尔和 SUN 公司生产的某些标准服 务器也采用 Altera 公司的 FPGA 芯片。Time Logic 公司对这些标准服务器加以改进后, 生产了一种用于基因研究

32、的高速处理设备。Annapolis Micro Systems 公司也在其计算机 电路板中集成了 Xi1inx 的 FPGA 芯片,以提高产品性能。尽管这种产品的平均售价高达 2.5 万美元,但是其销售量却比以前翻了一番。而美国的 Blue Arc 公司采用了 FPGA 开发出 一种存储器产品,其存取速度比 Network Appliance 和 EMC 公司的竞争产品更快。Mid Stream Technologies 公司则采用 FPGA 芯片为有线电视运营商开发视频流服务器。这款 服务器采用了 2 片 FPGA 芯片,可同时提供 425 路视频流信号,经基于通用微处理器的服 务器速度快得

33、多。以基于 EDA 开发技术的 FPGA 实现的处理器在超级计算机的设计中也将 有其一席之地。传统的超级计算机应该是科技世界中的极品,其售价奇高、速度飞快, 它集成了数以千计的微处理器。但这种超级计算机也浪费了非常多的芯片资源,每个处 理器只能进行单任务操作,大部分功能难以充分发挥。如果采用 FPGA 来武装超级电脑, 在发挥 FPGA 原有的并行工作的基础上,利用 FPGA 的可重配置特性,即针对不同的处理 任务和算法模型,现场配置进 FPGA 相应处理器结构文件,从而使得同一硬件电路结构在 4 不同的时间段,形成不同的等效硬件结构以效地对付不同的处理任务。例如,此超级计 算机某一段瞬间可以

34、用于预报全球天气状况,下一时间则能用于根据某一公司的主要利 率对冲情况来评估券市场的风险,然后又进入基因组合核对的分析等等。 在电路设计方面,为帮助设计人员应对电路设计规模日益增加以及高速电路广泛应用 所带来的种种设计挑战,EDA 工具供应商不断改进技术,开发增强功能设计工具,以满足 严苛产品设计周期和复杂的电路设计要求。AltiumDesigner 是业界首例将设计流程、集 成化 PCB 设计、可编程器件设计和基于处理器设计的嵌入式软件开发功能整合在一起的 产品,可同时进行原理图、PCB 和 FPGA 设计以及嵌入式设计的解决方案,具有将设计方 案从概念转变为最终成品所需的全部功能。此次发布

35、的强大功能包括:元件的三维 STEP 文件导入;完整电路板装配的三维 STEP 导出;三维阴影和按层显示对象颜色;增强的透明 层模式选项;FPGA 器件电源监控;实现原理图/器件表转换的设计重构功能 AltiumDesigner6.9 还拥有新增的 FPGA 外设内核(同时支持 Open Bus 和原理图设计)、上 下文关联 C 语言帮助、将三维图片输入 Windows 剪贴板的功能,以及诸如单位切换、三 维原点标一记等新增的用户界面功能。强大的 3D 功能使得电子设计与机械结构设计紧密 联系在一起,大幅提高设计效率,缩短产品开发周期。 在汽车电子方面,汽车电子标准化工作的进展正在为相关设计工

36、具的需求推波助澜。 举例来说,目前车内电子子系统的数量已经多达 20 多个,生产商甚至需要一个服务器来 进行管理。虽然这种复杂系统在航空市场已经出现了很久,但应用太过专业和尖端,因 而无法得到大量普及。但现在不同了,许多标准汽车电子行业正在陆续涌现。而飞利浦 和西门子等大型汽车电子企业也在努力推动更多的标准化作。 ”EDA 厂商如果能够尽快在 该领域占领一席之地,无疑意味着丰厚的回报。而采用 EDA 系统进行设计的电子部分一 旦成形,许多部分还能够进行复用。这对数量日益增多的汽车电子企业和实力雄厚的整 车企业来说,无疑具有很高的吸引力具体而言,在汽车电子 EDA 需求中,首当其冲的便 是电缆和

37、线束的排线设计。 1.3 课题研究的目的和意义 可编程逻辑设计是近年来在电子设计领域中出现的一门新技术,它把复杂的数字系统 转化为用一两个可编程逻辑器件即可实现的“片上”系统;把系统的更新换代转化为简单 的在系统编程设计;把后期的系统调试转移到设计实现之前在计算机上进行的时序仿真. 这种新的技术将使硬件设计向软件化方向发展,大大简化数字系统的开发过程,使数字系 统不再需要大量不同种类的芯片及芯片之间的复杂连线,不再需要一次又一次地调试硬件 电路并反复修改、制作电路。有效地减少系统体积,增加系统的可靠性,而且缩短开发周 期,降低研制成本.基于 FPGA(Field Programmable Ga

38、tes Ar-ray)的设计具有串、并行工 作方式和高速、高可靠性、规模大、设计开发周期短、设计制造成本低、开发工具先进、 5 标准产品无需测试、质量稳定等优点,因而在电子系统中得到广泛应用。本文利用 VHDL 硬件描述语言,以及 SOPC 嵌入式系统设计,并通过 Quartus软件和 Altera 公司的 Cy- clone 系列 FPGA 完成了交通灯控制系统的设计,并对结果进行了模拟仿真。 随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的 协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通 数据监测、交通信号灯控制与交通疏导的计算机综

39、合管理系统,它是现代交通监管系统的 重要组成部分,本文应用 FPGA 设计实现交通灯控制功能。 1.4 课题研究的主要内容 本课题主要研究了基于 FPGA 的交通灯控制系统的应用,在论文中主要完成了以下工作: 研究了 VHDL 电路设计。 单片机为适应实时处理的快速要求,它是直接面对硬件的,属较低级的,大多数 使用汇编语言。但是每个厂家生产的单片机其汇编语言皆不同。所以使用不同型号的单 片机必须学会不同的汇编语言。并且,编程还与硬件连接的方式有关。而 FPGACPLD 可 使用标准的硬件描述语言 VHDL,只要学会一种语言,即可对所有型号的 FPGACPLD 进行 编程,远离硬件,打破了软硬件

40、之间的障碍,使学习和设计的效率达大提高。 单片机是用指令排队形式来执行指令的,影响了速度的提高。而 FPGACPLD 在实 时处理时均为并行工作,速度快。另外,单片机的各引脚功能均为确定,不像 FPGACPLD 那样可根据需要用软件改变个引脚的功能。如需要的话,也可在 FPGACPLD 中设计出一 个或多个 CPU,达到控制的目的。 一般单片机系统在实际运行中都会受到各种信号的干扰,如果现场干扰信号很强, 将导致单片机程序陷入死循环或者称“跑飞” ,系统无法正常工作,因此单片机应该内置 有“看门狗”功能,防止程序“跑飞” 。在本课题中,研究的是一个逻辑逻辑控制系统, 系统里面的程序不存在“跑飞

41、”现象,而且 VHDL 硬件描述语言在被执行时,不会产生这 种“跑飞”现象。 综上所述,高速发展的 FPGACPLD 不但包括了单片机所有的性能并兼有串、并行工 作方式和高速、高可靠性及宽口径实用性等多方面的特点。基于以上特点,在本课题中 使用可编程逻辑器件设计一个基于 FPGA 控制系统,取代常用的微控制器,用于数据采集 和处理。它移植较好,从长远角度考虑,性价比也比采用单片机的方案高。 6 3.7 本章小结 本文主要介绍了 FPGA 的概述,基本开发流程和开发工具。通过比较 EDA 技术与单片 机的特点,最终确立了课题的方案。 4 4 十字路口交通控制器结构设计十字路口交通控制器结构设计

42、4.1 系统的功能 交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。在现代化的大城市中, 十字交叉路口越来越多,在每个交叉路口都需要使用红绿灯进行交通指挥和管理,红、绿 灯的转换要有一个准确的时间间隔和转换顺序.这就需要有一个安全、自动的系统对红、 18 绿灯的转换进行管理,本系统就是基于此目的而开发的。交通灯是城市交通监管系统的重 要组成部分,对于保证机动车辆的安全运行,维持城市道路的顺畅起到了重要作用。目前 很多城市交叉路口的交通灯实行的是定时控制,灯亮的时间是预先设定好的,在时间和空 间方面的应变性能较差,一定程度上造成了交通资源的浪费,加重了道路交通压力。本文 在 EDA 技术的

43、基础上,利用 FPGA 的相关知识设计了交通灯控制系统,可以根据实际情况对 灯亮时间进行自由调整,整个设计系统通过 QuartusQuartus件进行了模拟仿真,并下载到 FPGA 器件中进行硬件的调试,验证了设计的交通信号灯控制电路完全可以实现预定的功能,具 有一定的实用性。 4.2 十字路口交通控制系统设计 4.2.1 设计要求 有一条主干道和一条支干道的汇合点形成十字交叉路口,主干道为东西向,支干道为 南北向。为确保车辆安全,迅速地通行,在交叉道口的每个人口处设置了红,黄,绿 3 色信 号灯。 具体要求如下: (1)信号: 1)基准时钟(CLK)、系统复位(RST)。 2)直行红灯(DR

44、)、直行绿灯(DG)、左转红灯(LR)、左转绿灯(LG)、右转红灯(RR)、右转 绿灯(RG)、人行红灯(PR)、人行绿灯(PG)。 (2)规则及要求: 1)基准时钟频率为 1Hz。 2)四个路口均为统一模式,其中,直行和右转均为 25 秒,左转和行人通行均为 15 秒。 3)使用倒计时计数并驱动 LED 显示器。 4)根据以上规则,合理安排各种状态,设计完成基本的交通灯控制逻辑。 4.2.2 系统设计 本设计中四个路口分别安排一个两位的七段数码管可显示范围 099 秒, 两组红绿灯(配合十字路口的双向指挥控制) ,一组手动与自动控制开关(针对交通警察 指挥交通控制) 。 本设计中南北方向两个

45、路口的所有信号灯及显示均相同,东西方向的也一样。 十字路口各信号灯及 LED 数码管安排如下图,其中每个信号灯/数字都能亮红光或绿光: 19 图 4-1 状态图 其中秒钟倒计时由四个路口各自的数码管显示出来。另外,当某一双向路口有绿灯时,另 一路口都为红灯,此时的数码管显示红色的数字,而且是由 54 秒开始倒计时至 0 秒。 当某一双向路口的绿灯在倒计时到 3 秒内时,绿灯将会闪烁,闪烁频率为 1Hz,占空比为 1:1(同 CLK 输入的占空比)。 4.2.3 红绿灯交通控制器的层次化设计方案 (1)系统时序发生电路系统时序发生电路 clk_gen IS 的的 VHDL 设计设计 在红绿灯交通

46、信号控制系统中,大多数的情况是通过自动控制的方式指挥交通的。因此, 为了避免意外事件的发生,电路必须有个稳定的时钟才能让系统正常运作。但为了配合 高峰期,防止交通拥挤,有时需要手动指挥控制,即让交警能够地指挥交通。 该电路的核心部分就是分频电路,通过对外接信号发生器提供 1kHz 的时钟信号进行 1000 分频,得到一个周期为 1s 的输出使能信号 ena_1Hz(占空比 1:1000)和 flash_1Hz(占空比 1:1);4 分频后得到红绿灯信号译码电路所需的频率为 250Hz 的显示 使能信号 ena_scan 其程序如下: LIBRARY IEEE; 20 USE IEEE.STD_

47、LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY clk_gen IS PORT ( RST: IN STD_LOGIC; CLK_IN:IN STD_LOGIC; CLK:OUT STD_LOGIC; ENA_SCAN:OUT STD_LOGIC; FLASH_1HZ:OUT STD_LOGIC); END clk_gen; ARCHITECTURE one OF clk_gen IS CONSTANT SCAN_BIT:POSITIVE:=2; CONSTANT SCA

48、N_VAL:POSITIVE:=4; CONSTANT TWO_HZ_BIT:POSITIVE:=7; CONSTANT TWO_HZ_VAL:POSITIVE:=125; SIGNAL CLK_SCAN_FF:STD_LOGIC_VECTOR(SCAN_BIT-1 DOWNTO 0); SIGNAL CLK_2HZ_FF:STD_LOGIC_VECTOR(TWO_HZ_BIT-1 DOWNTO 0); SIGNAL ENA_S,ENA_ONE,ENA_TWO:STD_LOGIC; BEGIN SCAN:PROCESS(RST,CLK_IN) BEGIN IF RST=1 THEN CLK_S

49、CAN_FF=SCAN_VAL THEN CLK_SCAN_FF=TWO_HZ_VAL-1 THEN CLK_2HZ_FFloadloadloadloadseg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto 0)seg7(15 downto

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 信息产业


经营许可证编号:宁ICP备18001539号-1