基于FPGA的千兆以太网协议分析技术.doc

上传人:白大夫 文档编号:3410359 上传时间:2019-08-22 格式:DOC 页数:2 大小:14.50KB
返回 下载 相关 举报
基于FPGA的千兆以太网协议分析技术.doc_第1页
第1页 / 共2页
亲,该文档总共2页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《基于FPGA的千兆以太网协议分析技术.doc》由会员分享,可在线阅读,更多相关《基于FPGA的千兆以太网协议分析技术.doc(2页珍藏版)》请在三一文库上搜索。

1、基于FPGA的千兆以太网协议分析技术0 引言以太网是当前最基本、最流行的局域网组网技术,为了适应各种新开展的业务如流视频等,其速率也在不断提高。千兆以太网是建立在以太网标准基础之上的技术,具有高效、高速、高性能的特点,目前企业局域网甚至城域网的建设都会把千兆以太网技术作为首选的高速网络技术。而千兆以太网协议分析技术是随着计算机技术、现代通信技术、网络技术的迅速发展而发展起来的,能够应对信息网络的突发事件,迅速判断网络瘫痪和受攻击部位,起到应急反应支援的作用。同时,随着TCP/IP(Transmission Control Protocol/Internet Protocol) 的广泛应用和深入

2、,TCP/IP 计算机网络的建设、维护和故障诊断面临着巨大的挑战,急切需要千兆以太网协议分析技术的应用。1 工作原理本文介绍的千兆以太网协议分析技术是基于FPGA(Field Programmable Gate Array) 设计技术, 支持10M/100M/1 000M电口和光口测试,采用RJ45 和SFP 光口连接器形式;负责物理层及数据链路层的数据帧编辑、生成、捕获、过滤、触发、解码和分析处理工作,IP 层以上的协议帧有软件根据IEEE802.3 协议标准进行操作。图1、图2 所示分别为本方案的发送部分、接收与分析部分的原理框图。千兆以太网协议测试FPGA 内部设计部分可以分为发送部分、

3、接收分析部分和接口芯片寄存器管理部分。其中,发送部分完成发送、编辑和生成各种以太网数据流等功能,根据测试需要,发送部分可产生任意的MAC 地址、IP 地址、错误的校验与错误插入等非正常数据。其中,MAC 地址、IP 地址的设置种类很多,可以设置为静态、递增、递减、随机等;接收分析部分完成以太网数据流统计、捕获、解码分析、信号性能统计等功能;根据测试需要,接收部分可选择捕获和统计需要的MAC地址、IP 地址、错误的校验类型与错误插入类型等的数据帧,其中可设置的过滤条件和触发条件有MAC 地址、IP 地址、图形1、图形2、协议类型和错误类型等。接口芯片寄存器管理部分完成对接口芯片BCM5421 寄

4、存器的读写操作与管理及串/ 并、并/ 串转换功能。2 设计实现本文介绍的千兆以太网协议分析技术是通过千兆以太网收发器BCM5421 和大规模FPGA 组合的硬件平台来实现的。由于千兆以太网支持电口和光口两种接口方式、以太网协议复杂、千兆速度很高、逻辑控制庞大,在FPGA 设计及PCB 布板设计实现中,具有很高的难度。具体设计实现的电路框图如图3 所示。千兆以太网信号经过收发处理电路送入接口芯片,由接口芯片对信号进行信号有效性、碰撞、编/ 解码、点灯等操作,然后以8- bit 的串行数据流送入FPGA。在FPGA 内需要完成MAC 层发送部分各种以太网帧的编辑、生成、数据编辑、错误插入、并/ 串

5、转换、发送模式的设置等,完成MAC 层接收部分各种以太网帧的监测、捕获、过滤、解码、查找错误和评估性能等,MAC 层接口芯片的寄存器管理、读写控制等;还有CPU 和硬件对SRAM、SDRAM的读写、存储操作等,具体实现过程如下所述。2.1 千兆以太网协议分析接口电路设计以太网测试模块采用两种接口方式:RJ45 电口和SFP 光电转换模块的光口。本技术实现方案采用的是接口收发器芯片BROADCOM公司的BCM5421S,完全支持IEEE802.3、自动协商、碰撞检测试、编/ 解码、串/ 并变换等功能,符合10BASE- T、100BASE- TX和1000BASET标准。同时,支持RGMII、GMII、MII、TBI、RTBI、SGMII和SerDesMAC接口方式。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1