基于USB口的FPGA器件编程方法--毕设论文.ppt

上传人:来看看 文档编号:3920947 上传时间:2019-10-10 格式:PPT 页数:25 大小:1.01MB
返回 下载 相关 举报
基于USB口的FPGA器件编程方法--毕设论文.ppt_第1页
第1页 / 共25页
基于USB口的FPGA器件编程方法--毕设论文.ppt_第2页
第2页 / 共25页
基于USB口的FPGA器件编程方法--毕设论文.ppt_第3页
第3页 / 共25页
基于USB口的FPGA器件编程方法--毕设论文.ppt_第4页
第4页 / 共25页
基于USB口的FPGA器件编程方法--毕设论文.ppt_第5页
第5页 / 共25页
点击查看更多>>
资源描述

《基于USB口的FPGA器件编程方法--毕设论文.ppt》由会员分享,可在线阅读,更多相关《基于USB口的FPGA器件编程方法--毕设论文.ppt(25页珍藏版)》请在三一文库上搜索。

1、基于USB口的FPGA器件编程方法,导 师: 吴健 答辩人: 张荣建 专 业: 测控技术与仪器,站长素材 SC.CHINAZ.COM,研究背景,在新型智能手机、移动终端、PDA等便携设备的开发过程中,以及科研过程中FPGA的使用非常的普遍。但是对FPGA的编程方法通常是通过串并口进行文件下载,这种传统方式受到下载速度或设备尺寸等因素的限制,无法满足研发需求,需要一种更具可行性,适用性和稳定性的方案。由于USB的广泛应用,因此研究一个采用USB接口的下载方法具有广泛的现实意义。,课题方向,课题主要是设计一种基于USB接口的FPGA下载线来实现对目标FPGA的配置。要求下载器具有良好的 稳定性和适

2、用性。 其中包括方案的确定和具体 方案实现的设计。包含软件和硬件。,课题研究流程,1.课题分析,搜集资料 2.模块化课题内容,对每个模块进行资料查阅 3.开始对每个模块进行设计 4.对设计内容进行 调试 5.写报告进行总结,模块划分,论文要点,USB ,是英文Universal Serial BUS(通用串行总线)的缩写,而其中文简称为“通串线,是一个外部总线标准,用于规范电脑与外部设备的连接和通讯。是应用在PC领域的接口技术。USB接口支持设备的即插即用和热插拔功能,JTAG接口介绍 JTAG(Joint Test Action Group)即联合测试行动组,是一种国际标准测试协议(IEEE

3、 1149.1兼容)。标准的JTAG接口是4线:TMS、TCK、TDI、TDO,分别为模式选择、时钟、数据输入和数据输出线。,系统方案设计,系统原理,结构,硬件设计,器件的选择,为了搭建通过USB接口下载FPGA程序的功能,USB芯片采用了FT245RL实现USB数据的转换功能,采用AMS1117作为稳压器,将5V电压调整为3.3V。采用EPM7064CPLD器件实现并行IO口和JTAG之间数据的逻辑转换,用MAX3378为缓冲芯片。,软件设计,1.USB驱动程序。 2.USB固件芯片程序是对芯片进行的一些基本设置来使其与主机通信。 3.CPLD程序部分。,硬件电路设计,稳压电路: AMS11

4、17提供电流限制和热保护。输出端需要一个至少10uF的钽电容来改善瞬态响应和稳定性,USB接口电路设计,USB接口,它连接主机和可编程逻辑器件,主要功能是进行USB和并行IO 口之间的数据格式转换,JTAG接口,它连接USB控制芯片和需要编程的逻辑器件,其它包括一些必要的时钟电路和电压转换电路,CPLD电路设计,CPLD电路进行并行IO口和JTAG之间数据的逻辑转换,通过对CPLD进行编程来实现,晶振电路,在此我们采用24M的晶体振荡器。晶振输出端连接的电阻作用是限流,保证放大器工作在高增益的线性区。输入端对地电容是为了滤波、减少干扰,整体,USB驱动程序,FTDI公司为FT245R芯片提供了

5、2种驱动程序:VCP驱动和D2XX驱动。使用VCP驱动程序,数据传输速率可达300 kbs;使用D2XX驱动程序,数据传输速率可达1 Mbs。 设计中使用使用第二种方式。 驱动程序要包含的一些文件,USB芯片的固件程序,USB芯片的固件程序是对USB芯片做初始化设置。设置文件为altera.ept文档。 使用MProg 3.0A软件来系在到USB芯片中,CPLD程序设计,CPLD在收到USB控制芯片传送来的数据后,CPLD程序对数据进行解析,然后转换为符合IEEE1149.1标准的编程数据和指令,从TCK、TMS和TDI串行输出到要编程的可编程逻辑器件。,端口介绍,ENTITY CPLD IS

6、 PORT ( CLK : IN STD_LOGIC; nRXF : IN STD_LOGIC; nTXE : IN STD_LOGIC; B_TDO : IN STD_LOGIC; B_ASDO : IN STD_LOGIC; B_TCK : BUFFER STD_LOGIC; B_TMS : BUFFER STD_LOGIC; B_NCE : BUFFER STD_LOGIC; B_NCS : BUFFER STD_LOGIC; B_TDI : BUFFER STD_LOGIC; B_OE : BUFFER STD_LOGIC; nRD : OUT STD_LOGIC; WR : OUT

7、STD_LOGIC; D : INOUT STD_LOGIC_VECTOR(7 downto 0) ); END CPLD; 以上进行实体说明,进行端口说明,即对信号名称(如CLK,nRXF),数据类型(如STD_LOGIC),I/O方向进行描述。,新建工程,新建工程来进行编译和仿真,调试设计,调试的目的是验证以下系统各部分功能:验证USB下载调试器的下载功能; 调试的内容应包括: 1、驱动程序段安装。 2、FT245RL程序的烧录。 3、CPLD程序的下载。 4、FPGA程序的下载。,结论,论文进行了系统方案设计,包括硬件电路设计和软件编程两部分。硬件电路以CPLD器件和集成了时钟、EEPROM的FT245RL为基础,设计了USB接口电路,时钟电路,稳压电路,缓冲电路以及CLPD电路。利用VHDL硬件描述语言编写CPLD内部程序部分,以及USB接口的驱动程序、固件程序的开发。在完成系统设计之后借助QuartusII仿真工具进行了系统仿真和调试,验证了FPGA程序的下载及调试功能。在设计结束完成了硬件电路设计画出了原理图和PCB图。,谢谢大家!,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1