VHDL硬件描述语言课程设计报告-基于VHDL的乒乓球游戏机的设计.doc

上传人:yyf 文档编号:5015941 上传时间:2020-01-28 格式:DOC 页数:15 大小:242KB
返回 下载 相关 举报
VHDL硬件描述语言课程设计报告-基于VHDL的乒乓球游戏机的设计.doc_第1页
第1页 / 共15页
VHDL硬件描述语言课程设计报告-基于VHDL的乒乓球游戏机的设计.doc_第2页
第2页 / 共15页
VHDL硬件描述语言课程设计报告-基于VHDL的乒乓球游戏机的设计.doc_第3页
第3页 / 共15页
VHDL硬件描述语言课程设计报告-基于VHDL的乒乓球游戏机的设计.doc_第4页
第4页 / 共15页
VHDL硬件描述语言课程设计报告-基于VHDL的乒乓球游戏机的设计.doc_第5页
第5页 / 共15页
点击查看更多>>
资源描述

《VHDL硬件描述语言课程设计报告-基于VHDL的乒乓球游戏机的设计.doc》由会员分享,可在线阅读,更多相关《VHDL硬件描述语言课程设计报告-基于VHDL的乒乓球游戏机的设计.doc(15页珍藏版)》请在三一文库上搜索。

1、各专业全套优秀毕业设计图纸 湖南科技大学 VHDL 硬件描述语言课程设计报告硬件描述语言课程设计报告 题目:题目:乒乓球游戏机设计乒乓球游戏机设计 专业:专业:通信工程通信工程 班级:班级: 姓名:姓名: 学号:学号: 指导教师:指导教师: 20152015 年年 0101 月月 4 4 日日 信息与电气工程学院 课程设计任务书 20142015 学年第一学期学年第一学期 专业:学号:姓名: 课程设计名称: 设计题目: 完成期限:自年月日至年月日共周 设计依据、要求及主要内容(可另加附页): 指导教师(签字): 批准日期:年月日 1 目录目录 摘要摘要2 2 ABSTRACT2 2 第一章课程

2、设计的目的第一章课程设计的目的3 3 第二章课程设计的内容第二章课程设计的内容3 3 2.1 课程设计的简要说明3 2.2 课程设计的任务和要求3 第三章电路工作原理第三章电路工作原理4 4 第四章主要程序及仿真结果第四章主要程序及仿真结果5 5 4.1 主要程序5 4.2 编译和波形仿真.9 第五章对本次设计的体会和建议第五章对本次设计的体会和建议1212 参考文献:参考文献:1313 2 摘要摘要 用 VHDL 编程模拟乒乓球比赛,电路模块由状态机、记分器、译码显示器等部分组成, 对各部分编写 VHDL 算法,进行编译、仿真、逻辑综合、逻辑适配及程序下栽通过 GW48 型 EDA 实验箱的

3、验证,乒乓游戏机能模拟乒乓球比赛的基本过程和规则,并能自动裁判和记 分。VHDL 是高速集成电路硬件描述语言,目前已成为许多设计自动化工具普遍采用的标准 化硬件描述语言VHDL 语言功能性强、覆盖面广、灵活性高,具有很好的实用。本文设计 一个基于 VHDL 的乒乓游戏机模拟乒乓球比赛。设计的难点是状态机。并对其进行仿真,给 出了仿真波形,完整程序可写入芯片,配合外围元器件,实现乒乓游戏机的功能。 关键词:VHDL;GW48;乒乓游戏机 Abstract Table tennis simulation game using VHDL programming, circuit module is

4、composed of state machine, marker, decoding display parts, each part of the preparation of the VHDL algorithm, compile, simulation, logic synthesis, logic adapter and program planted. Through experimental verification box type GW48 EDA, table tennis tour basic process and rules of play of function s

5、imulation table tennis competition, and can automatically judge and score. VHDL is a high speed integrated circuit hardware description language, has now become the standard is widely used in many design automation tools, hardware description language.VHDL language function is strong, wide coverage,

6、 high flexibility, practicability is very good. A table tennis match simulation table tennis game machine based on VHDL is designed in this paper. Design is the difficulty of state machine. And the simulation, the simulation waveform, a complete program can be written with chip, peripheral component

7、s, to achieve the function of table tennis game machine. Keywords: VHDL; GW48; table tennis game machine 3 第一章课程设计的目的第一章课程设计的目的 1、熟练掌握了相关软件 Max Plus 2 的使用操作。能对 VHDL 语言程序进行编译及调试, 以及通过计算机仿真,得到正确的仿真波形图,并根据所得仿真波形图分析判断并改进所设 计的电路。 2、在成功掌握软件操作基础上,将所学数字电路的基础课知识与 VHDL 语言的应用型 知识结合起来并与实际设计,操作联系起来,即“理论联系实际”的观点。

8、 3、完成可编程器件与 VHDL 语言课程设计,掌握设计语言技术的综合应用性。本次设 计课题为多路彩灯控制器。 4、熟悉系统的分析和设计方法,合理掌握选用集成电路的方法,初步接触 EDA 技术, 为以后本专业的学习奠定良好的基础。 第二章课程设计的内容第二章课程设计的内容 2.12.1 课程设计的简要说明课程设计的简要说明 两人乒乓球游戏机是用 9 个发光二级管代表乒乓球台,中间一个发光二极管兼做乒乓球 网,用点亮的发光二极管按一定方向移动来表示球的运动。在游戏机的两侧各设置发球和击 球开关,(一个是发球开关 StartA,StartB;另一个是击球开关 HitA,HitB。)甲乙双方按乒 乓

9、球比赛规则来操作开关。当甲方按动发球开关时,靠近甲方的第一个发光二极管亮,然后 发光二极管由甲方向乙方依次点亮,代表乒乓球的移动。当球过网后按照设计者规定的球位 乙方就可以击球。若乙方提前击球或者未击到球,则甲方得分,甲方的记分牌自动加分。然 后重新发球进行比赛,知道一方记分达到 21 分为止,记分清零,重新开始新一局比赛。 2.22.2 课程设计的任务和要求课程设计的任务和要求 设计一个乒乓球游戏机,该机模拟乒乓球比赛的基本过程和规则,并能自动裁判和计分。 要求如下: 1.使用乒乓游戏机的甲、乙双方各在不同的位置发球或击球。 2.乒乓球的位置和移动方向由灯亮及依次点亮的方向决定。球移动的速度

10、为 0.10.5s 移动一位(读者可以根据自己的情况进行设定) 。球过网,接球方就可以接球,提前接球或 者没击着球均判失分。 4 3.比赛按 21 分为一局,甲、乙双方都应设置各自的记分牌,任何一方先记满 21 分,该 方就算胜出。按 Reset 键将记分牌清 0 后,即可开始新的一局比赛。 2.32.3 课程设计的训练目标课程设计的训练目标 学会用 VHDL 设计简单的状态机,掌握用状态机控制其他模块并处理其反馈信息的经典 用法。 第三章电路工作原理第三章电路工作原理 ScoreAScoreA ScoreBScoreB IncreaseAIncreaseA IncreaseBIncrease

11、B ClearClear Light08Light08 按键 系统的逻辑划分图 5 设计该乒乓球游戏机的输入/输出端口。首先考虑输入端口,一般都应该设置一个异步 置位端口 Reset,用于在系统不正常时回到初始状态;两个发球输入端 StartA,StartB,逻 辑1分别表示甲方和乙方的发球;两个击球输入端 Hit1 和 Hit2,逻辑1分别表示甲 击球和乙击球;一个开始游戏按钮 startbutton,处于逻辑1表示可以游戏;还得有一 个时钟输入端口 clk。其次考虑输出端口,芯片应该有 9 个输出端口来控制 9 个发光二极管, 输出逻辑1即输出一个高电平,可以使发光二极管点亮;另外,要直观

12、地表示双方的得 分,就得用到七段译码器,每方用到 2 个,可以表示 021 的数字,每个七段译码器需要芯 片的 7 个输出端口来控制,总共需要 28 个输出端口。 第四章主要程序及仿真结果第四章主要程序及仿真结果 4.14.1 主要程序主要程序 4.1.1 主程序状态机模块 这个模块式主程序模块,也是最重要的模块。采用状态机单进程的方法,一共设置了 7 个状态,分别是“等待发球状态” (waitserve) , “第一盏灯亮状态” (light1on),“第六盏 灯亮状态” (light6on),“球向乙移动状态”(ballmove2), “球向甲移动状态” (ballmoveto1), “允

13、许甲击球状态”(allow1hit), “允许乙击球状态”(allow2hit)。 具体实现代码如下: process(clk1,reset) -clk 作为敏感信号触发进程 begin -进程开始 if reset=0 then -异步置位 i -进程处于等待发球状态 if serve=0 then i -进程处于第一盏灯亮状态 6 i -进程处于第八盏灯亮状态 i -进程处于球向乙移动状态 if hit1_en=0 then i -进程处于球向乙移动状态 if hit2_en=0then i -进程处于允许甲击球状态 if hit1_en=0 then i -进程处于允许乙击球状态 7 i

14、f hit2_en=0then iscore11score11score11score11score11score11score11score11score11score11score11score11score11score21score21score21score21score21score21score21score21score21score21score21score21score21=“00000011“;score22=“00000011“; end case; end process; 其中 score11 和 score12 代表 count1 的十位数和个位数,score2

15、1 和 score22 代表 count2 的十位数和个位数. 4.1.3 按键消抖模块 这个模块主要是当我们按下 hit1、hit2、reset、serve 四个键时要进行消抖,否则我们的 开发板将感应不到。 主要采用的是计数法消抖,我们让按键持续时间大于 N 个 clk 时钟周期时,计数器输出一 个单脉冲,否则没有脉冲输出。如果按键开关抖动产生的毛刺宽度小于 N 个时钟周期,因而毛 刺作用不可能使计数器有输出,防抖动目的得以实现。 具体代码如下: process(clk) begin ifrising_edge(clk) then hit1_en1=hit1; end if; end pr

16、ocess; process(clk) begin ifrising_edge(clk) then hit1_en2=hit1_en1; end if; end process; hit1_en3= hit1_en1 and not hit1_en2; process(clk) begin ifrising_edge(clk) then if hit1_en3=0 then num=num+1; elsenum=0; end if; end if; end process; 9 process(clk) begin ifrising_edge(clk) then ifnum=5000000 t

17、hen hit1_en=hit1_en1; else null; end if; end if; end process; 4.24.2 编译和波形仿真编译和波形仿真 图 4-1 所示代表乙发球,由 light 端口输出的高电平会驱动芯片以外的发光二极管使之点亮, 这样就可以通过发光二极管模拟乒乓球的运动轨迹。可以看到,在甲该击球的时候没有击球, 也就是 hit1 在 state 状态 6(allow1hit,允许甲击球状态)的时候没有高电平1输入, 则算乙得分,count2 由 0 变到 1,score22 的值随之变化, “30”代表了二进制的 “0110000” ,就是七段译码器显示1

18、,之后 state 回到状态 0(waitserve,等待发球状 态) 。从最后一行 state 值的变化,可以清楚地分析状态转移。 图 4-1 i 从 8 开始依次递减计数,控制发光二极管亮暗的 light 信号也随着 i 的数值而变化, 如图 4-2 所示: 10 图 4-2 图 4-3 是在乙发球以后,甲子正确时刻击球的波形仿真图。甲在 state 为状态 6(allow1hit,允许甲击球状态)的时候击球了,在图上 hit1 在此时刻出现高电平,看到 state 转移了状态 2(ballmoveto2,球向乙移动状态)当到了状态 3(allow2hit,允许乙 击球状态)乙没有击球,所

19、以甲得分了,count1 由 0 变到 1,而七段译码器随之改变。 图 4-3 图 4-4 为上图的球的移动轨迹: 11 图 4-4 图 4-5 是仿真甲提早击球的情况,在不允许甲击球的状态下,即 state 处于状态 5(ballmoveto1,球向甲移动状态)时,hit1 输入逻辑1 ,表示甲击球了,所以乙得分。 图 4-5 图 4-6 为上图的球的移动轨迹: 12 图 4-6 第五章对本次设计的体会和建议第五章对本次设计的体会和建议 在这个设计中,初步体现了状态机的中心控制作用。通过状态机进程传出的信号,驱动 了发光二极管以及七段译码器等外围设备。状态机进程传出的 i 信号,控制了发光二

20、极管的 状态,状态机进程传出的 count1 和 count2 信号,控制了七段译码器的显示。如果要用实际 电路来实现乒乓球游戏机,就还要将设计下载到芯片中去,并且加上外围电路,这些外围电 路包括七段译码器,按键,即便如此,上面的设计还是不够的,还存在两个问题,一个是时 钟频率问题,一个是按键问题,但由于本人时间及能力有限,就没多加研究,深感遗憾。 本次设计是在指导老师黄敏的指导下完成的。在设计的过程中,黄老师给予了指导,并 提供了很多与该设计相关的重要信息,培养了我们对课程设计的兴趣,丰富了我们的知识。 这都将非常有利于我们今后的学习和工作。在此表示衷心的感谢! 在这里还要感谢一位老师,很抱

21、歉我不知道他的名字,他是带我们进入 VHDL 世界的人, 仅仅两次课让我们了解 VHDL 的世界,这是我这次课程设计能成功的最主要原因,在这深深 表示感谢。 另外,在课程设计过程中,我更深刻的了解到计算机是一门很精深的课程,同时也是一 个硬件与软件相通的课程,要想很好的学好它,还必须投入更多时间与热情。也了解到很多 内容不是光看可不就能学到的,必须高强度的提高动手能力,多去尝试。这些都对我以后的 学习有很大的帮助。还有,班上同学同样给与我不少帮助,这里一并表示感谢。 13 参考文献:参考文献: 1 曹昕燕 周凤臣 聂春燕 编著.EDA 技术试验与课程设计.M.北京:清华大学出版社 ,2006.

22、5 2 徐志军 王金明 尹廷辉 徐光辉 苏勇 编著.EDA 技术与 VHDL 设计. M.北京:电子工业出版社, 2009.1 3 刘欲晓 方强 黄宛宁 等编著.EDA 技术与 VHDL 电路开发应用实践.M.北京:电子工业出版社, 2009.4 4 朱正伟 主编.EDA 技术及应用M.北京:清华大学出版社,2005.10 5 潘松 黄继业 编著.EDA 技术与 VHDL(第 2 版)M. 北京:清华大学出版社,2007.1 6 赵明富 刘文进 主编.EDA 技术基础M.北京:北京大学出版社,2007.6 7 柳春锋 主编.电子设计自动化(EDA)教程M. 北京:北京理工大学出版社,2005.8 8 潘松 黄继业 编著.EDA 技术实用教程M.北京:科学出版社,2005 9 赵明富 主编.EDA 技术与实践M.北京:清华大学出版社,2005.11 10 邹彦 等编著.EDA 技术与数字系统设计M.北京:电子工业出版社,2007.4

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 商业贸易


经营许可证编号:宁ICP备18001539号-1