《EDA技术及应用》课程设计-基于VHDL数字时钟的设计与实现.doc

上传人:西安人 文档编号:5016809 上传时间:2020-01-28 格式:DOC 页数:24 大小:586.50KB
返回 下载 相关 举报
《EDA技术及应用》课程设计-基于VHDL数字时钟的设计与实现.doc_第1页
第1页 / 共24页
《EDA技术及应用》课程设计-基于VHDL数字时钟的设计与实现.doc_第2页
第2页 / 共24页
《EDA技术及应用》课程设计-基于VHDL数字时钟的设计与实现.doc_第3页
第3页 / 共24页
《EDA技术及应用》课程设计-基于VHDL数字时钟的设计与实现.doc_第4页
第4页 / 共24页
《EDA技术及应用》课程设计-基于VHDL数字时钟的设计与实现.doc_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《《EDA技术及应用》课程设计-基于VHDL数字时钟的设计与实现.doc》由会员分享,可在线阅读,更多相关《《EDA技术及应用》课程设计-基于VHDL数字时钟的设计与实现.doc(24页珍藏版)》请在三一文库上搜索。

1、装 订 线2008-2009学年第 一 学期 物电 学院期末考试卷 EDA技术及应用 学号: 200672020240 姓名: 班级: 2006级电子(2)班 成绩:评语:(考试题目及要求)1. 设计一个数字时钟,具有按秒走时功能,能够分别显示小时(2位24小时)、分种(2位)、秒(2位)。具有整点报时、时间调整功能。也可设计成十二小时计时方案(AM,PM)。具有美观、清晰、人性化的显示界面设计,走时精度不劣于3秒/月。2. 设计条件:VHDL语言,MAXPLUSII开发工具,EPM7128可编程逻辑芯片,蜂鸣器,20MHz时钟源,8位七段数码管,128X32像素单色液晶显示屏。3. 设计报告

2、至少应包含这些内容:系统方案设计、显示界面设计、程序设计思路,电路设计框图、电路图,选用器件的功能、性能、使用方法介绍以及接口时序分析,有详细注释的源程序清单以及程序分析,结果说明与描述(最好附照片),芯片资源占用率及程序优化度分析,芯片管脚分配与连线说明,基于时序图的功能仿真分析,在线测试方法、测试数据与测试结果,走时误差分析与功能、性能偏离分析,设计总结,参考文献。基于VHDL数字时钟的设计与实现作者姓名: 学 号:专 业:电子信息工程指导老师: 完成日期:2008年12月29日基于VHDL数字时钟的设计与实现摘要:随着EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自

3、动控制及计算机应用领域的重要性日益突出。EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑优化和仿真测试,直至实现既定的电子线路系统功能。本文介绍了基于VHDL硬件描述语言设计的多功能数字时钟的思路和技巧。关键词:数字时钟、VHDL、MAX+plus引言:VHDL硬件描述语言在电子设计自动化(EDA)中扮演着重要的角色,它出现极大的改变了传统的设计方法、设计过程乃至设计观念。由于采用了“自顶向下”(Top-Down)的全新设计方法,使设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,用新的

4、思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短了产品的研制周期。这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后用综合优化工具生成具体门电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这不仅有利于早期发现结构设计上的错误,避免设计工作的浪费,而且也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。VHDL主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的外,VHDL的语言形式和描述风

5、格与句法十分类似与一般的计算机高级语言。VHDL程序结构特点是将一个电路模块或一个系统分成端口和内部功能算法实现两部分。对于一个电路模块或者数字系统而言,定义了外部端口后,一旦内部功能算法完成后,其他系统可以直接依据外部端口调用该电路模块或数字系统,而不必知道其内部结构和算法。一、系统设计方案1、设计任务与要求 设计一个数字时钟,具有按秒走时功能,能够分别显示小时(2位24小时)、分种(2位)、秒(2位)。具有整点报时、时间调整功能。也可设计成十二小时计时方案(AM,PM)。具有美观、清晰、人性化的显示界面设计,走时精度不劣于3秒/月。2、设计条件及选用器件说明软件:VHDL语言,MAXPLU

6、SII开发工具硬件:EPM7128可编程逻辑芯片,蜂鸣器,20MHz时钟源, 128X32像素单色液晶显示屏。2.1 MAXPLUSII开发工具MAX+plusII界面友好,使用便捷,被誉为业界最易学易用的EDA软件。它支持原理图、VHDL和Verilog语言文本文件,以及波形与EDIF等格式的文件作为设计输入,并支持这些文件的混合设计。MAX+plusII具有门级仿真器,可以进行功能仿真和时序仿真,能够产生精确的仿真结果。2.2 EPM7128可编程逻辑芯片 本系统选用EPM7128SLC84-15芯片,此芯片是MAX7000S系列器件,采用08m CMos EPROM技术制造。是高密度、高

7、性能的CMOS EPLD (可擦除可编程的逻辑器件)器件。它分为8个逻辑阵列块(LAB),每一LAB又分为16个宏单元。其宏单元由逻辑阵列、乘积项选择矩阵和可编程触发器三个功能块组成。它共有2500个可用门,128个宏单元组成。它的4个专用输入,既可以作为通用输入,也可以作为每个宏单元和IO引脚的高速、全局控制信号, 如时钟(Clock)、清除(Clear)和输出(Output Enable)等。逻辑阵列实现组合逻辑,给每个宏单元提供5个乘积项。“乘积项选择矩阵”分配这些乘积项作为到“或” 门和“异或” 门的主要逻辑输入,以实现组合逻辑函数,或者把这些乘积项作为宏单元中触发器的辅助输入。2.3

8、 128X32像素单色液晶显示屏 1.中文液晶显示模块(OCMJ128X32)的引脚说明引脚名称方向说明其它1VLED+I背光电源正极(LED+5v)2VLED-I背光电源负极(LED-5v)3VSSI地4VDDI(+5v)5REQI请求信号,高电平有效。6BUSYO应答信号=1:已经收到数据并正在处理中 =0:模块空闲,可以接受数据7-14DB0DB7I数据0数据72.用户命令格式(1)实验用液晶显示器模块(OCMJ)命令帧分为操作码及操作数两部分。(2)字符命令:1、显示国标汉字,2、显示8X8ASCII字符,3、显示8X16ASCII字符 图形显示命令:4、显示位点阵,5、显示字节点阵

9、屏幕控制命令:6、清屏,7、上移,8、下移、9、左移、10、右移(3)命令帧 1)显示显示8X8ASCII字符 命令格式:F1 XX YY AS 该命令为4字节命令(最大执行时间为0.8ms,Ts2=0.8ms),其中 XX:为以汉字为单位的屏幕行坐标值,取值范围00到0F。 YY:为以汉字为单位的屏幕列坐标值,取值范围00到1F。 AS:坐标位置上要显示的ASCII字符码。 2)显示显示8X16ASCII字符 命令格式:F9 XX YY AS 该命令为4字节命令(最大执行时间为1.0ms,Ts2=1.0ms),其中 XX:为以汉字为单位的屏幕行坐标值,取值范围00到0F。 YY:为以汉字为单

10、位的屏幕列坐标值,取值范围00到1F。 AS:坐标位置上要显示的ASCII字符码。3)接口时序说明编号名称单位最小值最大值说明1TruS0.4-数据线上数据稳定时间2TbuS220最大模块响应时间3TrtuS11-最小REQ保持时间4Ts1uS2045最大数据接收时间5Ts2uS-0.1-30最大命令指令处理时间3、设计思路 本系统采用自顶向下的模块化设计方法,将数字时钟化分为多个模块:分频器模块,时、分、秒计数五个模块、数据选择模块、译码显示和整点报时模块。系统原理框图如下: (1)为本系统提供的时钟信号源频率为20MHz,而秒计数器的计数时钟信号为1Hz的标准信号,数据选择器和显示驱动的时

11、钟信号为1MHz左右的时钟信号。因此,需将时钟信号源做多次分频,最终得到不同频率的时钟信号。 (2)时、分、秒计时模块采用六进制、十进制和二十四进制计数器共同构成,本系统采用异步进位计数法,1Hz的计数时钟信号就是秒个位信号,高位的计数时钟为低位的进位信号。当秒个位计时器为9时,当系一个时钟到来时秒个位计数器清零同时产生一个进位信号,此信号作为秒十位的时钟信号,以后类同。(3)数据选择模块将需显示的数据以扫描的方式送到显示器,要显示的字符共有10个,因此需设计一个十进制计数器,来选择显示数据。(4)译码显示驱动模块,需要将秒、分和小时的每一位输出信号输入至译码电路来得到相应的显示信息,通过十进

12、制计数器来控制位译码器。分频器 六进制计数器十进制计数器十进制计数器六进制计数器二十四进制计数器数据选择器显示驱动20MHz1Hz置数控制器LD液晶屏REQDATABUSYC1C2C3C4蜂鸣器CO数字时钟原理框图 4、设计方案 本系统可采用24小时计时方式,即当计时到23小时59分59秒时清零;同时也可采用12小时计时方式,即当计时到11小时59分59秒时清零,同时上午与下午之间切换。为了具有美观、清晰、人性化的显示界面,因此本系统选择第二种方案。显示界面如下图:23365624小时计时方案界面A/PM 12365612小时计时方案界面二、各模块详细设计 本问设计时,首先用VHDL语言编写各

13、个功能模块,分别在MAX+plus开发环境下编译、仿真,然后再用顶层文件将各功能模块连接起来。1、分频器模块 时钟控制电路的输入信号为20MHz的时钟信号,为得到1Hz的信号,需将20MHz的信号经过模为20000和模为1000的分频器最终得到秒的输入信号,如果只经过20000分频则得到数据选择器与译码显示驱动电路的输入信号。其VHDL程序实现如下:-1000分频器PROCESS(CLK) BEGIN -CLK为20MHz的时钟信号IF RISING_EDGE(CLK) THEN IF CNT0=X1F3 THEN -CNT0为500进制计数信号 CNT0=X000;CLK_NUM1=NOT

14、CLK_NUM1; -每记满500CLK_NUM1取反一次 ELSE cnt0=cnt0+1; CLK_NUM1=CLK_NUM1;END IF;END IF;END PROCESS;PROCESS(CLK) -20000分频器 BEGINIF RISING_EDGE(CLK_NUM1) THEN -分频方式同上 IF CNT00=X270F THEN CNT00=X0000;CLK_NUM2=NOT CLK_NUM2; ELSE cnt00=cnt00+1;CLK_NUM2=CLK_NUM2;END IF; END IF;END PROCESS;2、计数置数模块 计数器又分为五个模块,六进制

15、计数器和十进制计数器个两个再加一个二十四进制计数器。采用异步时钟,十进制计数器每记满一次产生一个进位信号,作为六进制计数器的时钟信号,后面以此类推。置数一控制端LD,当LD=1时为秒十位置数;当LD=2时为分个位置数;当LD=3时为分十位置数;当LD=4时为时个位置数;当LD=5时为时十位置数。所置数由一时钟脉冲为1Hz的十进制计数器滚动置数。(1)其VHDL程序实现如下:-秒个位PROCESS(CLK_NUM2) BEGINIF CLK_NUM2EVENT AND CLK_NUM2=1 THEN - DOUT12为秒个位计数信号 IF LD=000 THEN -当LD=0时正确计数 IF D

16、OUT12=1001 THEN DOUT12=0000;C1=1; -当个位计数到9时清零, ELSE DOUT12=DOUT12+1;C1=0; -同时产生一个进位C1 END IF; END IF;END IF;DOUT1(3 DOWNTO 0)=DOUT12; - DOUT1(3 DOWNTO 0)为输出END PROCESS; -端低四位-秒十位PROCESS(C1,LD) BEGINIF LD=001 THEN -当LD=1时给秒十位置数 DOUT11=DOUT2; - DOUT2为十进制计数器ELSIF C1EVENT AND C1=1 THEN -C1为秒十位时钟信号 IF DO

17、UT11=0101 THEN - DOUT12为秒个位计数信号 DOUT11=0000;C2=1; -当个位计数到5时清零, ELSE DOUT11=DOUT11+1;C2=0; -同时产生一个进位C2 END IF;END IF;DOUT1(7 DOWNTO 4)=DOUT11; END PROCESS; -分个位PROCESS(C2,LD) BEGINIF LD=010 THEN -当LD=2时给分个位置数 DOUT22=DOUT2; ELSIF C2EVENT AND C2=1 THEN -C2为分个位时钟信号 IF DOUT22=1001 THEN - DOUT22为分个位计数信号 D

18、OUT22=0000;C3=1; -当个位计数到9时清零 ELSE DOUT22=DOUT22+1;C3=0; -同时产生一个进位C3 END IF;END IF;DOUT1(11 DOWNTO 8)=DOUT22;END PROCESS;-分十位PROCESS(C3,LD) BEGINIF LD=011 THEN -当LD=3时给分个位置数 DOUT21=DOUT2; ELSIF C3EVENT AND C3=1 THEN -C3为分十位时钟信号 IF DOUT21=0101 THEN - DOUT22为分十位计数信号 DOUT21=0000;C4=1; -当个位计数到5时清零, ELSE

19、DOUT21=DOUT21+1;C4=0; -同时产生一个进位C4 END IF; END IF;DOUT1(15 DOWNTO 12)=DOUT21;END PROCESS;-小时计数器PROCESS(C4) BEGINIF LD=100 THEN -当LD=4时给时个位置数 DOUT32=DOUT2;ELSIF LD=101 THEN -当LD=5时给时十位置数 DOUT31=DOUT2;ELSIF C4EVENT AND C4=1 THEN -C4为时的时钟信号 IF DOUT31=0001 THEN -DOUT31为时十位计数信号 IF DOUT32=0001 THEN -DOUT32

20、为时个位计数信号 DOUT31=0000;DOUT32=0000; ELSE DOUT32=DOUT32+1; END IF; ELSIF DOUT31=0000 THEN IF DOUT32=1001 THEN DOUT32=0000;DOUT31=DOUT31+1; ELSE DOUT32=DOUT32+1; END IF; END IF;END IF;DOUT1(19 DOWNTO 16)=DOUT32;DOUT1(23 DOWNTO 20)=DOUT31;END PROCESS;-置数计数器PROCESS(CLK_NUM2)BEGINIF CLK_NUM2EVENT AND CLK_N

21、UM2=1 THEN IF LD=001 OR LD=010 OR LD=011 OR LD=100 OR LD=101 THEN IF DOUT2=1001 THEN DOUT2=0000; -当LD不为0时DOUT2启动开始计数 ELSE DOUT2=DOUT2+1; -为是十进制计数器 END IF; END IF;END IF;END PROCESS;(2)在MAX+plusII下的仿真时序如下:下图为六进制计数器仿真时序图,图中CLK为时钟信号,EN为使能端,高电平有效;CLR为清零端,高电平有效;CO为进位输出端口,DOUT为数据输出端。当DOUT=5时清零,同时产生进位下图为十进

22、制计数器仿真时序图,端口名及功能同上。当DOUT=9时清零,同时产生进位下图为二十四进制计数器仿真时序图,端口名及功能同上。当LD=3时,分的十位以秒的计数时钟开始计数下图为各计数模块组合后的仿真时序,LD为置数控制端口,当LD=2时给秒的十位置数,当LD=3时为分个位置数,如下图所示:当LD=2时,秒的十位以秒的计数时钟开始计数3、数据选择模块数据选择模块采用计数器来选择要发送的数据,在此模块中工作时钟信号为CLK_NUM1,即经过20000分频后的时时钟信号。因为要发送的数据有十位因此CNT1为十进制计数器,要显示每个字符需发送4个数据,所以将CNT2设计为四进制计数器。其VHDL程序实现

23、如下:-数据选择器PROCESS(CLK_NUM1) BEGINIF CLK_NUM1EVENT AND CLK_NUM1=1 THEN -REQ1信号由检测BUSY信号产生IF REQ1=1 THEN -以REQ1为信号,当REQ1为 CNT2=CNT2+1; -高电平时读取数据 IF CNT1=1001 THEN CNT1=0000; ELSE CNT1CNTCNTCNTCNTCNTCNTCNTCNTCNTCNTNULL; END CASE;END IF;END IF;END PROCESS;-AM/PM的切换C5=1 WHEN DOUT31=0000 AND DOUT32=0000 EL

24、SE 0;-当小时两位为00时PROCESS(C5) -产生一进位信号C5BEGIN IF C5EVENT AND C5=1 THEN -当C5上升沿到来时OUTAMP取反 OUTAMPAMPAMPNULL;END CASE;END IF;END PROCESS;-数据选择PROCESS(CNT1) BEGIN CASE CNT1 IS WHEN 0000=DATA1=XF9;DATA2=X02;DATA3DATA1=XF9;DATA2=X03;DATA3DATA1=XF9;DATA2=X05;DATA3DATA1=XF9;DATA2=X06;DATA3DATA1=XF9;DATA2=X07;

25、DATA3DATA1=XF9;DATA2=X08;DATA3DATA1=XF9;DATA2=X09;DATA3DATA1=xF9;DATA2=X0A;DATA3DATA1=XF9;DATA2=X0B;DATA3DATA1=XF9;DATA2=X0C;DATA3NULL; END CASE;END PROCESS;4、显示驱动模块驱动液晶显示器能够显示动态数据,需以快速扫描的方式去读取所需显示的数据。在发送数据时,检测BUSY信号,当BUSY信号为低电平时,即液晶块空闲,可以发送数据;当BUSY信号为高电平时,即液晶块忙,应该停止发送数据。当BUSY信号为低电平时,同时产生一个信号REQ1,在读

26、取数据时以REQ1为信号,高电平读取数据。如果没有检测到BUSY信号,则不发送数据。其VHDL程序实现如下:-送显示模块PROCESS(CLK_NUM1,BUSY) ISBEGINIF RISING_EDGE(CLK_NUM1) THEN IF BUSY=0 THEN REQ1DOUTDOUTDOUTDOUTNULL; END CASE; ELSIF BUSY=1 THEN REQ1=0; -当BUSY信号为高电平时,REQ1清零 END IF; -不发送数据END IF;REQDATA4DATA4DATA4DATA4DATA4DATA4DATA4DATA4DATA4DATA4DATA4DAT

27、A4DATA4NULL; END CASE;END PROCESS;仿真时序如下图:每个字符需发送四个数据CLK为时钟信号,BUSY为液晶块响应信号,REQ为发送数据信号,高电平发送数据。DOUT为所发送的数据。CO为进位信号,LD为置数端5、整点报时模块 当计数满一小时时报警一次,即检测分十位的进位信号,当C4=1时开始报警,并报警一分钟。其VHDL程序实现如下:-整点报时PROCESS(CLK_NUM1)BEGIN IF CLK_NUM1EVENT AND CLK_NUM1=1 THENIF C4=1 AND C2=1 AND C1=1 THEN -当时分秒的进位均为1时将CO置1 CO=

28、1; -其余情况均为0ELSE CO=0; -CO为报警输出端口END IF;END IF;END PROCESS;三、测试结果说明及性能分析1、测试结果说明经过测试本系统基本实现设计要求,能够按秒走时,在液晶块上正确显示上/下午、时、分、秒。具有整点报时、时间调整功能,并实现了十二小时计时方案(AM,PM)。但是走时精度不劣于3秒/月的功能没有实现,本系统有较大的误差,每15分钟快一秒,即每小时快4秒,一个天快1分36秒。经分析问题主要:(1)在于分频模块,是分频不够准确。如果要得到较为准确的时钟信号,应对时钟信号进行多次分频,即通过多个分频计时模块。下午 8:20:19(2)由于信号源的不

29、稳定而引起的误差,信号源不能准确产生12MHz的时钟信号。下午 8:19:23(3)可能由于芯片的老化不稳定造成的时钟误差。测试照片如下:上午 11:09:162、芯片资源占用率及程序优化度分析 芯片的资源占用率如下图所示,占用逻辑单元123约占总量的96%,占用率较大。使用芯片管脚18个,占总数的28%。本系统由于占用了较多的逻辑单元,因此本系统在运行时可能不稳定,在测试时,发现芯片发热较严重,不能长期使用。因此本系统需要做程序优化,在程序设计中发现使用IF语句比使用CASE语句占用资源要少,在程序中将信号定义成整型比定义成逻辑矢量占用资源要多。使用图元的方法也可节省资源,且使用图元的方法在

30、思路上更加清晰。Total dedicated input pins used: 1/4 ( 25%)Total I/O pins used: 18/64 ( 28%)Total logic cells used: 123/128 ( 96%)Total shareable expanders used: 74/128 ( 57%)Total Turbo logic cells used: 123/128 ( 96%)Total shareable expanders not available (n/a): 25/128 ( 19%)Average fan-in: 9.11Total fan-in: 11213、端口即管脚分配说明 CLK:系统时钟信号;(芯片83管脚 输入端)LD

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 商业贸易


经营许可证编号:宁ICP备18001539号-1