基于VHDL的自动售货机设计报告.doc

上传人:西安人 文档编号:5020764 上传时间:2020-01-29 格式:DOC 页数:23 大小:255.50KB
返回 下载 相关 举报
基于VHDL的自动售货机设计报告.doc_第1页
第1页 / 共23页
基于VHDL的自动售货机设计报告.doc_第2页
第2页 / 共23页
基于VHDL的自动售货机设计报告.doc_第3页
第3页 / 共23页
基于VHDL的自动售货机设计报告.doc_第4页
第4页 / 共23页
基于VHDL的自动售货机设计报告.doc_第5页
第5页 / 共23页
点击查看更多>>
资源描述

《基于VHDL的自动售货机设计报告.doc》由会员分享,可在线阅读,更多相关《基于VHDL的自动售货机设计报告.doc(23页珍藏版)》请在三一文库上搜索。

1、提供全套毕业设计,欢迎咨询 基于VHDL的自动售货机 设计报告 组员: 2014-9-20目录1.封面12.目录23.导言34.正文4(1)程序结构介绍4 主进程4分频进程5译码显示进程6(2)设计中遇到的问题及解决65.结论与改进76.人员及任务分配107.致谢108.附录109.参考文献22一、导言在2114年短学期中,我组成员在“数字系统课程设计”课程中成功按题目要求设计完成了目标系统基于VHDL的自动售货机模拟系统。本文将介绍我组设计过程与结果。设计题目如下: 设计FPGA 模块模拟自动售货机的工作过程,要求(1)售货机有两个进币孔,可以输入硬币和纸币,售货机有两个进币孔,一个是输入硬

2、币,一个是输入纸币,硬币的识别范围是1 元的硬币,纸币的识别范围是5 元,10 元,20元。乘客可以连续多次投入钱币。(2)顾客可以选择的商品种类有16 种,价格分别为1-16 元,顾客可以通过输入商品的编号来实现商品的选择。由于输入涉及到0-9共10个数字。Nexys3开发板上只有5个按键,可以使用一位开关(比如SW0)来配合按键进行输入,开关SW0拨到0时,5个按键分别代表0-4,SW0拨到1时,5个按键分别代表5-9,这样即可完成所有数字的输入。由于有16种商品,可以通过两次按键来完成。(3)顾客选择完商品后,可以选择需要的数量,数量为1-3件。然后可以继续选择商品及其数量,每次可以选择

3、最多两个商品。然后显示出所需金额。顾客此时可以投币,并且显示已经投币的总币值。当投币值达到或超过所需币值后,售货机出货,并扣除所需金额,并找出多余金额。在投币期间,顾客可以按取消键取消本次操作,钱币自动退出。我组完成了以上基本功能,并在按金额面值大小顺序退币及返回上一层功能上进行了拓展尝试。二、 正文1、程序结构介绍本系统由三个进程组成,分别为主进程(main)、分频进程(m)、译码显示进程(translate)组成。(1)主进程(main)主进程为分为9个状态,按主进程流程顺序分别为开始状态(sstart),第一个商品种类编号的十位、个位选择状态(schoGoods10、schoGoods1

4、1),第一个商品数量选择状态(choNum1),第二个商品种类编号的十位、个位选择状态(schoGoods20、schoGoods21),第二个商品数量选择状态(choNum2),付款状态(spayment),找零状态(srefund)。开始状态(sstart)主要完成变量的初始化及重新归零处理,在系统回归初始状态后,即跳转到选择商品编号状态。第一个商品的十位及个位选择(schoGoods10、schoGoods11)状态完成第一件商品的选择功能。在十位选择时,如果用户按下0、1以外的按键(在十位选择过程中shift开关不会影响按键代表的值),则系统不会响应按键,而是继续等待输入;在个位输入时

5、,当shift开关值为1,则5个键代表的值将由01234变为56789,达到了题目要求。每次输入,改变信号sneedMoney的值,并分个位十位输出到左侧两个数码管上。如果拨动ok键,将进入下一个状态。第一个商品的数量选择状态(choNum1)将用户输入所需的商品数量保存。当用户按下0-3之间的任一个键,并拨动ok开关时,左侧数码管显示当前需要金额,并进入下一个状态。第二个商品的种类及数量选择过程(choGood20、choGoods21、choNum2)与第一个类似,不做赘述。当用户不需要选择第二个商品时,只需要将第二个商品的种类或数量其中一个设为0即可。当选择完第二个商品数量并拨动ok按钮

6、,数码管显示购买两种商品所需要的总额,并进入付款状态。付款状态(spayment)中付款由开关模拟,用四个开关分别代表1、5、10、20四种币额的投入(可多次投入)。投币过程中,数码管右侧两位将实时显示已投入的金额。在付款过程中,如果用户拨动取消按键,则直接进入退币状态。如果用户投币不足,售货机将等待用户继续投币,如果用户投币足够或超额,数码管右侧两位将显示多余的需退金额,并进入退币状态。退币状态(srefund)完成退币功能,系统将根据需退金额是否大于20、10、5、1依次判断,金额大于20(10、5、1)则退币20(10、5、1)并将需退金额自减,循环退币并自减直到需退金额为0;数码管右侧

7、两位持续显示需退金额,直到用户拨动ok开关,返回到开始状态(sstart)。(2)分频进程(m)分频进程将100Mhz时钟信号扩大2000000倍。设置自增变量i,当i2000000者将分频后时钟设0,2000000i4000000则将时钟设1,达到分频效果。在本进程中还设置了四位共阳极七段显示管的扫描速度:设置自增变量j,在0j100000,100000j200000,200000j300000,300000jtotMoney:=0; stotMoney=0;needMoney:=0;sneedMoney=0;tempCo1:=0;tempCo5:=0;tempCo10:=0;tempCo2

8、0:=0;choNum1:=0;choNum2:=0;j:=0;i:=0;lastOk:=ok;isadd:=0;lastcancel:=cancel;lout2=0;lout3=0;lout4=0;co1=0;co5=0;co10=0;co20=0;lout1=1;unablec1:=c1;unablec5:=c5;unablec10:=c10;unablec20:=c20;unablebut0:=but0;unablebut1:=but1;unablebut2:=but2;unablebut3:=but3;unablebut4:=but4;ableOk:=0;stateif(but0=0

9、and unablebut0=1)then unablebut0:=0;end if;if(but1=0 and unablebut1=1)then unablebut1:=0;end if;if(but1=0 and but0=1 and unablebut0=0)thenchoGoods1:=0;unablebut0:=1;needMoney:=choGoods1;sneedMoney=needMoney;state=schoGoods11;elsif(but0=0 and unablebut1=0 and but1=1)thenchoGoods1:=10;unablebut1:=1;ne

10、edMoney:=choGoods1;sneedMoney=needMoney;state=schoGoods11;end if;if(rst=1)then stateif(but0=0 and unablebut0=1)then unablebut0:=0;end if;if(but1=0 and unablebut1=1)then unablebut1:=0;end if;if(but2=0 and unablebut2=1)then unablebut2:=0;end if;if(but3=0 and unablebut3=1)then unablebut3:=0;end if;if(b

11、ut4=0 and unablebut4=1)then unablebut4:=0; end if;if(shift=0 and choGoods1=0) thenif(but0=1 and unablebut0=0)thenchoGoods1:=0;unablebut0:=1; ableOk:=1;elsif(but1=1 and unablebut1=0)thenchoGoods1:=1;unablebut1:=1; ableOk:=1;elsif(but2=1 and unablebut2=0)thenchoGoods1:=2;unablebut2:=1; ableOk:=1;elsif

12、(but3=1 and unablebut3=0)thenchoGoods1:=3;unablebut3:=1; ableOk:=1;elsif(but4=1 and unablebut4=0)thenchoGoods1:=4;unablebut4:=1; ableOk:=1;end if;elsif(shift=1 and choGoods1=0) thenif(but0=1 and unablebut0=0)thenchoGoods1:=5; unablebut0:=1;ableOk:=1;elsif(but1=1 and unablebut1=0)thenchoGoods1:=6; un

13、ablebut1:=1;ableOk:=1;elsif(but2=1 and unablebut2=0 and choGoods1=0)thenchoGoods1:=7; unablebut2:=1;ableOk:=1;elsif(but3=1 and unablebut3=0 and choGoods1=0)thenchoGoods1:=8; unablebut3:=1;ableOk:=1;elsif(but4=1 and unablebut4=0 and choGoods1=0)thenchoGoods1:=9; unablebut4:=1;ableOk:=1;end if;elsif(s

14、hift=0 and choGoods1=10)thenif(but0=1 and unablebut0=0)thenchoGoods1:=10;unablebut0:=1; ableOk:=1;elsif(but1=1 and unablebut1=0)thenchoGoods1:=11;unablebut1:=1; ableOk:=1; elsif(but2=1 and unablebut2=0)thenchoGoods1:=12;unablebut2:=1; ableOk:=1;elsif(but3=1 and unablebut3=0)thenchoGoods1:=13;unableb

15、ut3:=1; ableOk:=1;elsif(but4=1 and unablebut4=0)thenchoGoods1:=14;unablebut4:=1; ableOk:=1;end if;elsif(shift=1 and choGoods1=10)thenif(but0=1 and unablebut0=0)thenchoGoods1:=15;unablebut0:=1; ableOk:=1;elsif(but1=1 and unablebut1=0)thenchoGoods1:=16;unablebut1:=1; ableOk:=1;end if;end if;needMoney:

16、=choGoods1;sneedMoney=needMoney;if(ok/=lastOk and ableOk=1)thenlastOk:=ok;lout1=0;lout2=1;ableOk:=0;state=schoNum1;end if;if(rst=1)then stateif(but0=0 and unablebut0=1)then unablebut0:=0;end if;if(but1=0 and unablebut1=1)then unablebut1:=0;end if;if(but2=0 and unablebut2=1)then unablebut2:=0;end if;

17、if(but3=0 and unablebut3=1)then unablebut3:=0;end if;if(but0=1 and unablebut0=0)thenchoNum1:=0;unablebut0:=1;ableOk:=1;elsif(but1=1 and unablebut1=0)thenchoNum1:=1;unablebut1:=1;ableOk:=1;elsif(but2=1 and unablebut2=0)thenchoNum1:=2;unablebut2:=1;ableOk:=1;elsif(but3=1 and unablebut3=0)thenchoNum1:=

18、3;unablebut3:=1;ableOk:=1;end if;if(ok/=lastOk and ableOk=1) thenlastOk:=ok;lout2=0;lout1=1;ableOk:=0;needMoney:=choGoods1*choNum1;sneedMoney=needMoney;state=schoGoods20;end if;if (lastcancel/=cancel)thenlastcancel:=cancel;lout2=0;lout1=1;state=schoGoods10;end if;if(rst=1)then stateif(but0=0 and una

19、blebut0=1)then unablebut0:=0;end if;if(but1=0 and unablebut1=1)then unablebut1:=0;end if;if(but1=0 and but0=1 and unablebut0=0)thenchoGoods2:=0;unablebut0:=1;needMoney:=choGoods2;sneedMoney=needMoney;state=schoGoods21;elsif(but0=0 and unablebut1=0 and but1=1)thenchoGoods2:=10;unablebut1:=1;needMoney

20、:=choGoods2;sneedMoney=needMoney;state=schoGoods21;end if;if (lastcancel/=cancel)thenlastcancel:=cancel;lout2=1;lout1=0;state=schoNum1;end if;if(rst=1)then stateif(but0=0 and unablebut0=1)then unablebut0:=0;end if;if(but1=0 and unablebut1=1)then unablebut1:=0;end if;if(but2=0 and unablebut2=1)then u

21、nablebut2:=0;end if;if(but3=0 and unablebut3=1)then unablebut3:=0;end if;if(but4=0 and unablebut4=1)then unablebut4:=0;end if;if(shift=0 and choGoods2=0) thenif(but0=1 and unablebut0=0)thenchoGoods2:=0;unablebut0:=1;ableOk:=1; elsif(but1=1 and unablebut1=0)thenchoGoods2:=1;unablebut1:=1; ableOk:=1;e

22、lsif(but2=1 and unablebut2=0)thenchoGoods2:=2;unablebut2:=1; ableOk:=1;elsif(but3=1 and unablebut3=0)thenchoGoods2:=3;unablebut3:=1; ableOk:=1;elsif(but4=1 and unablebut4=0)thenchoGoods2:=4;unablebut4:=1; ableOk:=1;end if;elsif(shift=1 and choGoods2=0)thenif(but0=1 and unablebut0=0)thenchoGoods2:=5;

23、 unablebut0:=1;ableOk:=1;elsif(but1=1 and unablebut1=0)thenchoGoods2:=6; unablebut1:=1;ableOk:=1;elsif(but2=1 and unablebut2=0 and choGoods1=0)thenchoGoods2:=7; unablebut2:=1;ableOk:=1;elsif(but3=1 and unablebut3=0 and choGoods1=0)thenchoGoods2:=8; unablebut3:=1;ableOk:=1;elsif(but4=1 and unablebut4

24、=0 and choGoods1=0)thenchoGoods2:=9; unablebut4:=1;ableOk:=1;end if;elsif(shift=0 and choGoods2=10)thenif(but0=1 and unablebut0=0)thenchoGoods2:=10;unablebut0:=1; ableOk:=1;elsif(but1=1 and unablebut1=0)thenchoGoods2:=11;unablebut1:=1; ableOk:=1;elsif(but2=1 and unablebut2=0)thenchoGoods2:=12;unable

25、but2:=1; ableOk:=1;elsif(but3=1 and unablebut3=0)thenchoGoods2:=13;unablebut3:=1; ableOk:=1;elsif(but4=1 and unablebut4=0)thenchoGoods2:=14;unablebut4:=1; ableOk:=1;end if;elsif(shift=1 and choGoods2=10)thenif(but0=1 and unablebut0=0)thenchoGoods2:=15;unablebut0:=1; ableOk:=1;elsif(but1=1 and unable

26、but1=0)thenchoGoods2:=16;unablebut1:=1; ableOk:=1;end if;end if;needMoney:=choGoods2;sneedMoney=needMoney;if(rst=1)then state=sstart;end if;if(ok/=lastOk and ableOk=1)thenlastOk:=ok;lout1=0;lout2=1;ableOk:=0;state=schoNum2;end if;if (lastcancel/=cancel)thenlastcancel:=cancel;lout1=0;lout2=1;stateif(

27、but0=0 and unablebut0=1)then unablebut0:=0;end if;if(but1=0 and unablebut1=1)then unablebut1:=0;end if;if(but2=0 and unablebut2=1)then unablebut2:=0;end if;if(but3=0 and unablebut3=1)then unablebut3:=0;end if;if(but0=1 and unablebut0=0)thenchoNum2:=0;unablebut0:=1;ableOk:=1;elsif(but1=1 and unablebu

28、t1=0)thenchoNum2:=1;unablebut1:=1;ableOk:=1;elsif(but2=1 and unablebut2=0)thenchoNum2:=2;unablebut2:=1;ableOk:=1;elsif(but3=1 and unablebut3=0)thenchoNum2:=3;unablebut3:=1;ableOk:=1;end if;if(ok/=lastOk and ableOk=1) thenlastOk:=ok;lout2=0;lout3=1;ableOk:=0;state=spayment;end if;if (lastcancel/=cancel)thenlastcancel:=cancel;lout2=0;lout1=1;state=schoGoods20;end if;if(rst=1)then stateunablebut0:=1;unablebut1:=1;unablebut2:=1;unablebut3:=1;unablebut4:=1;if(c1=0 and unablec1=1) then unablec1:=0;end if

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 商业贸易


经营许可证编号:宁ICP备18001539号-1