程控运放设计报告.doc

上传人:哈尼dd 文档编号:5026440 上传时间:2020-01-29 格式:DOC 页数:30 大小:471KB
返回 下载 相关 举报
程控运放设计报告.doc_第1页
第1页 / 共30页
程控运放设计报告.doc_第2页
第2页 / 共30页
程控运放设计报告.doc_第3页
第3页 / 共30页
程控运放设计报告.doc_第4页
第4页 / 共30页
程控运放设计报告.doc_第5页
第5页 / 共30页
点击查看更多>>
资源描述

《程控运放设计报告.doc》由会员分享,可在线阅读,更多相关《程控运放设计报告.doc(30页珍藏版)》请在三一文库上搜索。

1、0 目录目录 第一章第一章 程控低频功率放大器方案论证与比较程控低频功率放大器方案论证与比较 .1 1.1 程控低频功率放大器设计任务与要求1 1.2 系统总体方案1 1.3 前级放大电路选择2 1.4 增益控制电路选择3 1.5 后级放大电路选择3 1.6 AGC 控制电路选择.3 第二章第二章 主要电路原理分析及单元电路设计主要电路原理分析及单元电路设计 .7 2.1 AD603 芯片特点.8 2.2 低频信号增益控制9 2.3 增益控制电路设计10 2.4 单片机小系统设计12 2.5 输出级电路设计13 2.6 自动增益控制电路的设计15 2.7 电源电路设计16 2.8 电路布局及抗

2、干扰设计17 第三章第三章 数据测试与分析数据测试与分析.18 3.1 测试使用的仪器18 3.2 测试数据18 第四章第四章 进一步改进措施进一步改进措施0 附录附录 1 25 附录附录 2 226 参考文献参考文献 29 1 一、一、 方案论证与比较方案论证与比较 1.程控低频功率放大器设计任务与要求程控低频功率放大器设计任务与要求 设计并制作一个低频功率放大器,要求末级功放管采用分立的大功率 MOS 晶 体管。 二、要求 (1)基本要求 1)当输入正弦信号电压有效值为 5mV 时,在 8 电阻负载(一端接地)上, 输出功率5W,输出波形无明显失真。 2)通频带为 20Hz20kHz。 3

3、)输入电阻为 600。 4)输出噪声电压有效值V0N 0N5mV。 5)尽可能提高功率放大器的整机效率。 6)具有测量并显示低频功率放大器输出功率(正弦信号输入时)、直流电源的 供给功率和整机效率的功能,测量精度优于 5%。 (2) 发挥部分 1)低频功率放大器通频带扩展为 10Hz50kHz。 2)在通频带内低频功率放大器失真度小于 1%。 3)在满足输出功率5W、通频带为 20Hz20kHz 的前提下,尽可能降低输入信 号幅度。 4)设计一个带阻滤波器,阻带频率范围为 4060Hz。在 50Hz 频率点输出功 率衰减6Db. 2.总体方案总体方案 方案一:选用结电容小,fT 高的晶体管,采

4、用多种补偿法,多级放大加深度负 反馈,以及组合各种组态的放大电路形式,可以组成优质的宽带放大器,而且成本 较低。但若要全部采用晶体管实现题目要求,有一定困难,首先高频晶体管配对困 难,不易购买;其次,理论计算往往与实际电路有一定差距,工作点不容易调整; 而且,晶体管参数易受环境影响,影响系统总体性能。另外,晶体管电路增益调节 较为复杂,不易实现题目要求的增益可调。 方案二:使用专用的集成宽带放大器。如 TITHS6022、NE592 等集成电路。通过 2 外接少数的元件就可以满足本题目要求,甚至远超过题目要求的带宽和增益的指标, 但这种放大器难以购买,价格较贵,灵活性不够,不易满足题目扩展功能

5、要求。 方案三:市面上有多种型号、各具特色的宽频带集成运算放大器。这些集成运 算放大器有的通频带宽,有足够的增益,有的可以输出较高电压,使用方便,有的 甚至可以实现增益可调及 AGC 的功能。总体上硬件的实现和调试较为简单,所以, 我们决定采用多个集成运放级连实现本题目。系统方框图如图 111 图 111 系统总体方框图 3 3前级放大电路选择:前级放大电路选择: 方案一:采用共源共基差分式放大电路,该电路具有较高的输入阻抗,并且共 基电路一方面可以扩展电路高频响应,同时又将共源电路负载电路隔离,使负载电 阻产生的热噪声经过 Cgd耦合到输入端,可以达到提高抗噪声性能。但这种电路结构 其抗噪声

6、能力关键取决于所用器件,由于特性一致的晶体管和场效应管不容易购买, 若采用一致性稍差的管子,其抗噪声性能会明显降低。 方案二:使用宽带运算放大器,采用反相输入形式可以抑制共模信号降低噪声, 其抗噪性能不一定优于方案一,但电路形式简单,易于调试,能够满足题目的输入 阻抗的要求故选取该方案。 4 4增益控制电路选择:增益控制电路选择: 增益及电压有效值显示 单片机键盘峰值检波 小信号 前级放大 放大器 增益控制 后级放大 输出 3 方案一:利用电阻网络和拨码开关,手动调节增益,可实现增益控制,但硬件 规模较大,控制繁琐且人机界面欠佳,另外,利用电阻网络实现增益调节需使用不 同阻值的高精度电阻,这种

7、电阻价格昂贵且不易购买。 方案二:可以用继电器或模拟开关构成电阻网络,由单片机控制以改变信号增 益。这种方案同样存在方案一电阻网络的缺点,同时,如果使用模拟开关,其导通 电阻较大,而且各通道信号会互相干扰,容易影响系统性能。 方案三:由单片机、D/A 转换器和可编程增益放大器 AD603 构成压控放大器。 单片机通过对控制 D/A 输出直流电压来控制 AD603 的内部电阻衰减网络,实现增益 调节。其外围元件少,电路简单,由于 AD603 带宽最大能达到 90MHz,增益范围有 40dB,增益精度在0.5dB,可精确实现增益控制,可以实现题目发挥部分减小增益 步进间隔的要求。所以本部分采用该方

8、 5 5后级放大电路选择:后级放大电路选择: 方案一:采用晶体管单端推挽放大电路。该电路广泛应用于示波器、显像管中。 通过多级深度负反馈和各种回路补偿扩展通频带。为获得较低的通频带下限频率, 可用直接耦合方式,而直接耦合的多级放大器工作点调试繁琐,需要较丰富的实践 经验。并且若要得到较高的输出电压,晶体管放大电路对电源电压要求较高。 方案二:采用功率放大集成电路。提供较高的输出电压,再扩流输出,以满足负 载要求.该方案电路较简单,容易调试,故采用本方案. 6 6AGCAGC 控制电路选择:控制电路选择: 方案一:采用经典的 AGC 控制电路如图 1-5-1 。利用检波电路从输出端得到一 与峰值

9、电压相关的直流分量送入误差放大器,控制结型场效应管,使其工作在可变 电阻区,从而改变放大器增益以实现自动增益控制功能。此种电路形式较为成熟, 但动态范围不是很大,且场效应管工作在可变电阻区时不易控制其压控电阻,调整 有些困难。 信号输入 放大电路负载 4 图 151 AGC 电路方框图 方案二:使用 AGC 专用集成芯片,如 AD8367,此类芯片外围电路简单,使用方 便,可以很容易得到恒定输出电压。但这类芯片输入动态范围不大,输出往往为一 伏值较小的恒定值,不利于本题显示输出有效值的要求。 方案三: 利用可编程增益放大器 AD603,通过单片机软件转换,可以将增益控 制电路转换成自动增益控制

10、电路。即通过峰值检波将输出信号峰值对应的直流分量 送入 A/D,通过软件计算,利用 D/A 输出对应的直流信号控制增益调节放大器,使 得输出电压稳定在一定的范围内,同时显示输出电压值。该方案无需外加硬件电路, 可完全通过单片机对增益控制电路进行简单改进实现。经总体考虑,决定采用该方案。 方框图如下(图 152) 输入 输出 图 152 由单片机控制的 AGC 电路 二主要电路原理分析和说明二主要电路原理分析和说明 1. AD603 芯片特点芯片特点 在很多信号采集系统中,信号变化的幅度都比较大,那么放大以后的信号幅值 误差放大检波电路增益调节 AD603 峰值检波电路 A/D 采样单片机 D/

11、A 输出 5 有可能超过 A/D 转换的量程,所以必须根据信号的变化相应调整放大器的增益。在 自动化程度要求较高的系统中,希望能够在程序中用软件控制放大器的增益,或者 放大器本身能自动将增益调整到适当的范围。AD603 正是这样一种具有程控增益调 整功能的芯片。它是美国 ADI 公司的专利产品,是一个低噪、90MHz 带宽增益可调 的集成运放,如增益用分贝表示,则增益与控制电压成线性关系,压摆率为 275V/s。管脚间的连接方式决定了可编程的增益范围,增益在-11+30dB 时的带 宽为 90Mhz,增益在+9+41dB 时具有 9MHz 带宽,改变管脚间的连接电阻,可使增 益处在上述范围内。

12、该集成电路可应用于射频自动增益放大器、视频增益控制、A/D 转换量程扩展和信号测量系统。 (1)AD603 的特点 AD603 是美国 AD 公司继 AD600 后推出的宽频带、低噪声、低畸变、高增益精度 的压控 VGA 芯片。可用于 RF/IF 系统中的 AGC 电路、视频增益控制、A/D 范围扩展 和信号测量等系统中。 (2)ad603ad603 引脚排列是、功能及极限参数 AD603AD603 的引脚排列如图 1 所示,表 1 所列为其引脚功能。AD603 的极限参数如 下电源电压 Vs:7.5V; 输入信号幅度 VINP:+2V; 增益控制端电压 GNEG 和 GPOS:Vs; 功耗:

13、400mW; 工作温度范围; AD603A:-4085; AD603S:-55+125; 存储温度:-65150 6 (3)工作原理概述 信号从精密无源梯形网络的输入短输入,对输入信号的衰减量由高阻(50 兆欧) 低偏流差分输入的增益控制电路的控制电压 VG(VGPOS-VGNEG)决定,即由 VG 控制 梯形网络的“滑动触点”至相应的“节点”处,可实现 0-42.14dB 的衰减。 固定增益放大器的增益 GF 通过 VOUT 与 FDBK 端连接形式确定,当 VOUT 与 FDBK 端短路连接时,GF=31.07dB;当 VOUT 与 FDBK 之间开路时,GF=5.07dB;在 OUT 与

14、 FDBK 之间外接意的电阻 REXT,可将 GF 设置为 31.0751.07dB 之间的任意值。值得 注意的是,在该模式下其增益精度有所降低,当外接电阻为 2 千欧左右时,增益误 差最大。若在 VOUT 与 FDBK 端连接一个电阻可获得一个稍高的增益,最大增益约为 60dB。 超过 Thr30时,OT 端输出低电平(过热关闭信号) 。图 9 中 WARN 信号及 OT 信号都输入微控制器 uC 中。其温度特性与输出特性如图 10 示。图 9 中的 FANON 为 风扇开控制端,当此端口低电平时,不管温度是多少,风扇被打开(一般正常工作时, 此端接 Vdd) 。VT1 可驱动 12V 直流

15、无刷电机,工作电流可达 250mA. (4).带风扇故障检测的风扇控制器 带风扇故障检测的风扇控制器的工作原理如图 11 所示。当温度超过阀值温度 Thr 时,比较器 P1 输出高电平,VT 导通,风扇工作。VT 的集电极电流 Ic 通过检测 电阻 Rsen 到地,在 Rsen 上端的电压 Vsen=Ic*Rsen。当电机正常时,Vsen 电压大于 P2 的基准电压,P2 输出高电平;当电机绕组断线(或 VT 损坏) ,Vsen=0,P2 的基 准电压大于 Vsen,P2 输出低电平, 表示电机有故障(或 VT 损坏了) ,此信号一般送 至 uC。 计算机需要更高的控制精度 中央处理器需要高达

16、1的精度测量技术才能使系统控制的温度精度由以往的 6提高到3,这样也可缩小上下限控制温度范围,使中央处理器的工作性能 更好。 这对于便携式计算机来说,上下限控制温度范围越小,不仅性能更好,而开动 散热风扇所消耗的电能也越小,这点是十分重要的。 为了满足这个要求,各半导体器件公司纷纷推出各种新型风扇控制器,如 AD 公 7 司开发的 ADM1030/ADM 1031,NS 公司开发的 LM86,MAXIM 公司开发的 MAX6654 及 MICROCHIP 公司的 TC652/653 等,这些器件在 70100或 60100温度内远程温度测量精度都可达 1 范围,满足 Intel 公司提出的要求

17、,它们采用 11 位 A/D 变换器,其分辨率可达 0.125。 设计 AD603 的增益,可设置位三种形式。 模式一:将 VOUT 与 FDBK 短路,即为宽频带模式(90MHz 宽频带) ,AD603 的增 益设置为-11.07dB+31.07dB. 模式二:VOUT 与 FDBK 之间外接一个电阻 REXT,FDBK 与 COMN 端之间接一个 5.6uF 的电容频率补偿。根据放大器的增益关系式,选取合适的 REXT,可获得所需 要的模式一与模式三之间的增益值。当 REXT=2.15 千欧时,增益范围为-1+41dB。 模式三:VOUT 与 FDBK 之间开路,FDBK 对 COMN 连

18、接一个 18uF 的电容用于扩展 频率响应,该模式为高增益模式,其增益范围为+8.92+51.07dB,带宽为 9MHz. 在以上三种模式中,增益 G(dB)与控制电压 VG 的关系曲线如图 2 所示。当 VG 在-500mV+500mV 范围内以 40dB/V(既 25mV/dB)进行线性增益控制,增益 G(dB)与 控制电压 VG 之间的关系为:GdB)=40VG+Goi(i=1,2,3) ,其中 VG=VGPOS- VGNEG(单位为伏特) ,Goi 分别为三种不同模式的增益常量: GO1=10dB,GO2=1030dB(由 REXT 决定,当 REXT=2.15 千欧时,GO2=20d

19、B) , GO3=30dB。 当 VG+500mV 时,增益(dB)与控制电压 VG 之间不满足线性 关系,当 VG=-526mV 时,Gmin(dB)=GF-42.14;VG=+526mV 时,Gmax(dB)=GF。 高增益要求下 AD603 级联应用 在要求高增益的场合,可采用两片或多片 AD603 级联的形式,级间通常采 用电容耦合。两片 AD603 级联时,总增益控制范围为 84.28dB=(42.14*2).在级联应 用中,有两种增益控制连接方式,即顺序控制方式和并联控制方式。可根据实际应 用情况选择,其选择取决于是要获得最高即时噪比还是优化增益误差波动。 顺序控制方式(优化 S/

20、N) 两片 AD603 级联的顺序控制方式是将两片 AD603 的两个正增益控制输入端(GPOS)以并联形式由一个正电压 VG(GPOS 对地的 电压)驱动,而两级的负增益控制输入端(GNEG)分别加一个稳定的电压,使 VG1 8 和 VG2 满足 2*0.526V 的点位差是,则第一级的增益达到最大值是,第二级的增 益才从最小值开始提高。在顺序控制方式中,ISNR(即时信噪比)在增益控制范围 内维持可能的最高水平。 并联控制方式 两片 AD603 级联的控制方式是将两级的正增益控制 输入端(GPOS)以并联的形式由一个正电压 VG 驱动,而两级的负增益控制输入端 (GNEG)以并联形式接地或

21、加一个稳定的电压,即 VG1=VG2,于是两级的增益同步 变化,并联控制方式在线性范围内的控制能力为 80dB/V(40dB/V*2),即在较小的 控制电压下便可获得较高的增益,其总增益是单片 AD603 的两倍。但在并联方式工 作时其增益误差是顺序控制方式的两倍,输出信造币随着增益的提高而线性降低。 低增益波动方式(最小增益误差方式) 由于即使在增益温度状态下也 存在一定的增益误差,且呈现周期性的纹波状态,若设置两片 AD603 级联时所对应 的 VG1 和 VG2 间存在合适的电位差(约 93.75mV),即可使两级的增益误差相互抵 消,以实现在所需增益范围内总增益误差最小。 (5).AD

22、603AD603 注意事项 在 AD603AD603 的应用中要注意以下几点: 1)供电电压一般应选为5V,最大不得超过7.5V。 2)在5V 供电情况下,加在输入端 VINP 的额定电压有效值应为 1V,峰值为 1.4V,最大不得超过2V。如要扩大测量范围,应在 AD603 的前面加一级衰减。 这样可使输出电压峰值的典型值达到3.0V。因此 AD603 后面通常要加一级放大才 能接 A/D 转换器。 3)电压控制端所加的电压必须非常稳定,否则将造成增益的不稳定,从而增 加放大信号的噪声。 (4)信号必须直接连在放大器的脚 4,否则将由于阻抗较大而引起放大器精度 的不准。 9 2.2.低频信号

23、增益分配低频信号增益分配 本系统以可变增益增益放大器 AD603 为核心,其它各单元电路都是根据 AD603 及题目要求设计。 题目要求最大增益要大于 40dB,最大输出电压有效值大于等于 3V,而中间级采 用的可编程增益放大器 AD603 对输入电压和输出电压均有限制,所以,必须合理分 配三级放大器的放大倍数。 AD603 的最大输出电压有效值约为 1.2V,假如要实现发挥部分的最大输出电压 有效值大于等于 6V 的要求,即输出电压峰峰值 2616.9 V, minpp V2 为得到最大输出电压,则后级放大至少要有 5 倍。我们发现,AD603 在输出电压过大 时,波形会有失真。为了实现输出

24、不失真,同时尽量扩大输出电压,把 AD603 最大 输出电压的峰峰值为定为 2V 左右,则放大倍数 A A 8.45 18.54 (dB) 2 9 . 16 故后级需要放大 8.5 倍,即 18.6 dB。 另外,AD603 的输入电压峰峰值为 1.4 V,所以前级放大不宜过大,以免输 入大信号时会烧坏芯片。 考虑到 AD603 输入电压范围,所以我们让前级放大 3.5 倍。 (1)前级放大器 由于 AD603 输入阻抗只有 100 欧,需加大输入阻抗才能满足题目要求,而且前 级信号比较小,容易受噪声干扰,综合考虑。我们前级放大采用视频放大器 AD818,其带宽有 100MHz,接成反相放大形

25、式,电路如图 221, 为了满足题目要求输入阻抗大于 1k,选取 R1 = 2K,Rf =7K,则放大倍数 A 3.5 1 R f R 2 7 10 图 221 前级放大器 3.3.增益控制电路设计:增益控制电路设计: AD603 的简化原理框图如图 2-3-1 所示,它由无源输入衰减器、增益控制界面 和固定增益放大器三部分组成。图中加在梯型网络输入端(VINP)的信号经衰减后, 由固定增益放大器输出,衰减量是由加在增益控制接口的电压决定。增益的调整与 其自身电压值无关,而仅与其差值 VG 有关,由于控制电压 GPOS/GNEG 端的输入电阻 高达 50M,因而输入电流很小,致使片内控制电路对

26、提供增益控制电压的外电路 影响减小。而且,如果 AD603 的增益用 dB 表示,则与控制电压成线性关系,以上特 点很适合构成本题要求的放大器。图 2-1-1 11 图 231 AD603 原理框图 中的“滑动臂”从左到右是可以连接移动的。当 VOUT 和 FDBK 两管脚的连接不 同时,其放大器的增益范围也不一样,带宽在 9MHz 90MHz 之间 为加大中间级的放大倍数及增益调节范围,我们使用两片 AD603 级联作为中间 级放大(图 232) 。如果将 AD603 的 5 脚和 7 脚相连,单级 AD603 增益调整范围 为,1030 dB,带宽为 90MHz,两级 AD603 级联,使

27、得增益可调范围扩大到 20 dB60 dB。可满足题目要求的 10dB58dB 的增益调节。 图 232 级联 AD603 电路图 12 两级 AD603 采用5V,5V 电源供电,两级的控制端 GNEG 都接地,另一控制 端 GPOS 接 D/A 输出,从而精确地控制 AD603 的增益。AD603 的增益与控制电压成线 性关系,其增益控制端输入电压范围为500mv500mv,增益调节范围为 40dB, 当步进 1dB 时,控制端电压需增大 VG25mv, 40 )500(500 由于两级 AD603 由同一电压控制,所以,步进 1dB 的控制电压变化幅度为 25mv/2=12.5mv。由于

28、 AD603 的控制电压需要比较精确的电压值。我们使用 12 位的 D/A 转换器 AD667,其内部自带 10V 基准电压,其输出电压精度为 0.00244V=2.44mv,可满足指标要求。 12 2 10 另外,通过 A/D 采样输出信号,由单片机计算后,再去调整 D/A 输出电压,就 可实现 AGC 功能,扩大通频带。 4.4.单片机小系统设计单片机小系统设计 单片机系统采用 AT89C52 为核心,时钟信号采用 12MHz 晶体,扩展了 32k 的外 部数据存储器,采样 FLASH ROM 28C256 作为外部数据存储器,可以保存预置增益 值。采用可编程键盘专用接口芯片 8279 扩

29、展键盘;显示部分采用 12864 的点阵液 晶显示器,A/D 转换器用 AD1674,D/A 转换器用 AD667。 13 单片机系统方框图: 图 241 单片机系统方框图 键盘控制模块:用 8279 控制 82 键盘,键盘扫描方式采用编码方式,由于 8279 能够自动消除按键抖动,以及可以使用中断方式处理按键。所以,使用 8279 可以代替单片机完成键盘的许多接口操作,从而大大的减轻了单片机的负担,使单 片机可以腾出更多资源。 液晶显示模块:液晶模块选用 LCD1602 液晶显示屏,价格便宜,使用比较方便。 D/A 转换器采用 AD667,AD667 是 12 位的 D/A 转换器,由它输出

30、控制电压给 AD603 的控制端 GPOS,精确控制 AD603 的增益,达到增益控制的目的。 A/D 转换器使用 AD0832,AD0832 是 8 位的 A/D 转换器,其管脚与 AD574 兼容, STC89C52 外部数据 存储器 A/D 转换器 AD1674 LCD1602 液晶显示屏 D/A 转换器 AD667 82 键盘 看门狗 MAX813 14 并带采样保持。用于采样输出信号,送由单片机计算并显示输出正弦电压有效值及 峰峰值,并与 D/A 配合实现 AGC 功能。 软件设计:由于本系统中单片机只起控制增益和显示的作用,所以软件设计比 较简单。启动后进入增益控制界面,可以通过按

31、键调节增益,步进 1dB,还可以切 换显示输出正弦电压有效值和峰峰值,以及切换到 AGC 功能。 5 5输出级电路设计:输出级电路设计: 后级输出电路我们采用输入阻抗较高的同相放大形式(图 2-4-1) ,前面分析过, 为得到最大输出电压,后级放大倍数至少为 A A 8.45 18.54 (dB) 2 9 . 16 则同相放大电路的增益 Af = = 8.45 1 1 R f R 故 7.45 实际应用时,选取 Rf = 8.2K,R1 = 1 K。 1 R f R 图 251 后级放大电路 实测时,我们发现此电路带动 600 欧负载有些不够,在频率较高时输出电压峰 峰值有较大下降,频率增高后

32、,由于压摆率 Sr 限制,在高频大信号输入情况下,使 15 得输出电压下降,故输出电流下降,导致带负载能力下降。 为此,我们采取 TDA2030 功率放大集成电路, TDA 2030 是一块性能十分优良的 功率放大集成电路,其主要特点是上升速率高、瞬态互调失真小,在目前流行的数 十种功率放大集成电路中,规定瞬态互调失真指标的仅有包括 TDA 2030 在内的几 种。我们知道,瞬态互调失真是决定放大器品质的重要因素,该集成功放的一个重 要优点。 TDA2030 集成电路的另一特点是输出功率大,而保护性能以较完善。根据掌 握的资料,在各国生产的单片集成电路中,输出功率最大的不过 20W,而 TDA

33、 2030 的输出功率却能达 18W,若使用两块电路组成 BTL 电路,输出功率可增至 35W。另一 方面,大功率集成块由于所用电源电压高、输出电流大,在使用中稍有不慎往往致 使损坏。然而在 TDA 2030 集成电路中,设计了较为完善的保护电路,一旦输出电流 过大或管壳过热,集成块能自动地减流或截止,使自己得到保护(当然这保护是有 条件的,我们决不能因为有保护功能而不适当地进行使用)。 TDA2030 集成电路的第三个特点是外围电路简单,使用方便。在现有的各种 功率集成电路中,它的管脚属于最少的一类,总共才 5 端,外型如同塑封大功率管, 这就给使用带来不少方便。 TDA2030 在电源电压

34、14V,负载电阻为 4 时输出 14 瓦功率(失真度 05);在电源电压 16V,负载电阻为 4 时输出 18 瓦功率(失真度 05)。该电路由于价廉质优,使用方便,并正在越来越广泛地应用于各种款 式收录机和高保真立体声设备中。该电路可供低频课程设计选用。 单电源供电音频放大电路是典型应用电路, 由一块 TDA 2030 和较少元件组成 单声道音频放大电路、装置调整方便、性能指标好等突出的优点。特别是集成块内 部设计有完整的保护电路,能自我保护。如下图所示: 16 6 6自动增益控制电路的设计:自动增益控制电路的设计: 本系统的自动增益控制功能,实际是由增益控制电路转化而来。在输出级加入 检波

35、电路,检出直流电压送入 A/D 采样,经单片机计算后控制 D/A 输出,此电压加 到 AD603 的增益控制端,从而控制 AD603 的增益达到使输出电压恒定的目的。 检波电路设计:由于本系统信号频率很宽,如果采用有源器件组成的峰值检波 电路,在低频和高频段均有较大失真,不能得到与峰值线性对应的直流电平。所以 我们采用无源峰值检波电路。 我们采用的检波电路如图 261, 图 261 检波电路 图中 D1、D2 均为锗管,采用锗管因为其特性近似平方率曲线,变化较为平缓, 二极管导通时电容充放电速度较为缓慢,输出幅度较为平坦,纹波较小。 R1、R2、D2 保证输入信号小于二极管导通电压时,二极管

36、D1 也能导通,进行检波, R3、R4 进一步抑制检波输出纹波,并将直流电压分压输出。该检波电路输出信号电 17 压约为输入电压峰值的 1/6。 7 7电源电路设计:电源电路设计: 整个系统需要的电源有5V,5,12V,12V。 5V/5V 是前置放大器和 AD603 的工作电源12/12 是输出级运放工作电源。电源采用变压器变压后整流, 滤波,再接三端稳压芯片 7812,7912,7805,7905。各稳压芯片都配有散热片,最 大输出电流可达 1.5 安。满足系统要求。电路图如图 271,272 图 271 正负 5V 电源电路 输出12 V 设计输出电流至少为 300 mA。假设电网交流电

37、压变化范围为 15 20,在 0.01s 内电压变化为U V d UUUMAX4 . 2127 . 0%)201 (2 F U I U Q C t 1250 4 . 2 01 . 0 3 . 0. 18 图 272 正负 12V 电源电路 其中,U 16 V(变压器输出交流电压) , 为 7812、7912 最小压降。V d U3 设计取滤波电容 C,即可满足要求。同理输出5 V 时取 C同样F3300F3300 满足要求。 8 8电路布局及抗干扰设计:电路布局及抗干扰设计: 由于放大器频带很宽,且级数较多,整个系统容易自激,必须采用有效措施抑 制自激发生,因此电路布局对整个系统性能起着至关重

38、要的作用。 由于时间关系,我们采用通用板进行焊接。焊接时我们使信号沿同一方向传输, 信号线尽量不交叉,保证所有连线做到“横平竖直” ;数字信号和模拟信号地分别接 地,防止模拟信号被数字信号干扰;加粗地线,在每级放大器的周围铺一圈地,使 得信号串扰被最大限度抑制掉。 每一级运放的电源都从自制电源板直接引入,并加上去耦电容及扼流圈,以减 小电源纹波对信号的干扰。 通过以上措施,我们整个系统的抗噪性能得到明显改善,系统总体性能得到改 善。 19 三数据测试与分析三数据测试与分析 1 1测试使用的仪器测试使用的仪器 SS1793 可跟踪直流稳定电源 HP33120A 型 15MHz 函数信号发生器 D

39、T9205 数字万用表 Agilent 54622D 100MHz 示波器 SX2172 交流毫伏表 DA22A 超高频毫伏表 2 2测试数据:测试数据: (1) 输入电阻: 测试方法:在信号源与输入端串一个 2k 的电阻(图 3-1-1) ,测量输入端的 电压值,比信号源显示的电压小一半,可知,系统的输入电阻为 2k,满足题目要 求的输入阻抗1k 图 3-1-1 输入阻抗测量 (2) 幅频特性 测试方法: 一般宽带放大器测试有两种方法,即“稳态法”与“暂态法” 。 根据题目要求的测试参数,我们选用“稳态法”测量。 “稳态法”是以正弦波作为输入信号,在频域内研究放大器的特性。根据要求 采用点频

40、法。 20 测试框图如图 3-2-1 图 321 测试数据:表中电压单位:V;频率单位:Hz 表 321 输入电压有效值 Vin0.06V,增益 34dB f1K5K10K50K100K500K1M VRMS2.142.462.782.92.9533 f2M3M4M5M6M7M8M VRMS332.92.852.82.752.7 最大输出电压有效值 Vrms 3.0V 3 dB 带宽 4KHz 12MHz ,1dB 带宽 7KHz 8MHz 表 322 输入电压有效值 Vin0.13V,增益 34dB 最大输出电压有效值 Vrms 6.6V。 最大输出电压下 3dB 带宽 4KHz 7MHz,

41、1dB 带宽 5.8KHz 6.9MHz 测试输入信号峰峰值 Vin = 20 mV ,增益 40dB ,带宽大于 15MHz。 (所用信号 源最大输出频率 15MHz) 图 322 图 325 分别为以上两个数据表格 3dB 带宽的两端频率的输出 f1K5K10K50K100K500K1M VRMS4.656.26.46.66.66.6 f2M3M4M5M6M7M8M VRMS6.56.56.46.36.26.16.1 信号源 宽带放大器 示波器/电压表 21 波形,这些图形是 HP54622D 混合信号示波器上实际显示的波形。 图 3-2-2 10KHz 输出最大值波形 图 3-2-3 7

42、.38MHz 时输出电压最大值 22 图 3-2-4 1dB 处 7.43MHz 输出波形 图 3-2-5 3KHz 时输出电压最大值 测试数据分析:由测试数据可知,放大器的带宽随输出信号的增大而减小,而 且其带宽减小是由于波形失真,分析可得,影响带宽的因素是运放的压摆率,如果 采用压摆率更高的运放,在输出大信号时可进一步提高带宽。 (3)增益控制测量: 数据表格如表 331 333 23 增益单位:dB;电压单位:V ; 输入信号幅度值为峰峰值 输入信号峰峰值 Vin 25 mV,f10KHz; 表 331 增益 显示 101316192225283134 输出 电压 0.0840.1100

43、.1520.2130.2970.4290.5930.8771.210 实际 增益 10.512.8715.6918.621.524.727.530.933.7 增益 显示 3740434649525558 输出 电压 1.692.473.454.826.739.6113.918.8 实际 增益 36.639.942.845.748.651.754.957.5 表 332 Vin = 109mV f3MHz 增益 显示 101316192225283134 输出 电压 0.350.500.600.941.311.852.633.695.28 实际 增益 10.1313.2315.6418.712

44、1.624.627.6530.633.7 增益 显示 37404346 输出 电压 8.1711.515.718.6 实际 增益 37.540.543.1746.3 表 333 Vin 109mV f6MHz 增益 显示 101316192225283134 输出 电压 0.350.470.710.981.361.702.613.785.28 实际 增益 10.1312.716.319.121.925.527.630.833.7 增益 显示 374043 24 输出 电压 7.3710.514.5 实际 增益 36.639.642.5 增益值 10dB58dB 可调,步进间隔 1dB。而且,增

45、益预置值与实测误差的最大 绝对值为 0.5dB,满足题目要求。 (4) 自动增益控制 本系统扩展了自动增益控制(AGC)功能,通过测量,在输入信号有效值在 0.005V0.50V 之间变化时,输出电压能稳定在 4.8V5.2V 之间,根据定义, AGC 范围20logVS1/VS220logVoH/VOl 20log(0.50/0.005)20log(5.2/4.8)39.3 DB 满足题目要求。 (5) 输出噪声 输入交流短路,增益调为 58dB,测得,输出电压峰峰值为 280 mV 四进一步改进措施:四进一步改进措施: 经过暑假期间一个星期的努力及后期的整理调试,较顺利的完成了本系统,达

46、到了相应的指标,但由于水平条件的限制,还不能做到尽善尽美。本系统还存在一 定的扩展能力。例如可通过选用压摆率更高的运算放大器从而进一步拓宽通频带, 提高最大输出电压有效值,如 AD844,其压摆率达到 2000V/us 在输出电压有效值为 6V 时,其带宽可达到 40MHz。通过改进单片机程序,可使 AGC 的输出电压稳定在不同的电压范围内。另外,如果将电路制作成 PCB 板,合理 的布局以及采用大面积覆铜等措施,可以使噪声电压降到更低,进一步提系统的性 能。 25 附录附录 1 1:电路原理图:电路原理图 XTAL2 18 XTAL1 19 ALE 30 EA 31 PSEN 29 RST

47、9 P0.0/AD0 39 P0.1/AD1 38 P0.2/AD2 37 P0.3/AD3 36 P0.4/AD4 35 P0.5/AD5 34 P0.6/AD6 33 P0.7/AD7 32 P1.0 1 P1.1 2 P1.2 3 P1.3 4 P1.4 5 P1.5 6 P1.6 7 P1.7 8 P3.0/RXD 10 P3.1/TXD 11 P3.2/INT0 12 P3.3/INT1 13 P3.4/T0 14 P3.7/RD 17 P3.6/WR 16 P3.5/T1 15 P2.7/A15 28 P2.0/A8 21 P2.1/A9 22 P2.2/A10 23 P2.3/A

48、11 24 P2.4/A12 25 P2.5/A13 26 P2.6/A14 27 U11 AT89C51 X1 CRYSTAL CS 1 CH0 2 CH1 3 GND 4 VCC 8 CLK 7 DI 5 DO 6 U8 ADC0832 R22 1k F2 + - R31 10k C23 10u C24 30pF C25 30pF R32 1k 2 3 4 5 6 7 8 9 1 RP1 RESPACK-8 VS+ 7 VS- 4 VIN 2 CF 3 CC 1 OUT 6 CAV 5 COM 8 U2 AD736 C1 33uF C2 0.01UF C3 0.01UF C4 10uF C5 10uF R1 47k C6 100uF +12V -12V D1 1N4148 D2 1N4148 VREF 8 GND 3 VCC 20 CS 1 WR1 2 DI3 4 DI2 5 DI1 6 DI0 7 RFB 9 GND 10 IOUT1 11 IOUT2 12 DI7 13 DI6 14 DI5 15 DI4 16 XFER 17 WR2 18 ILE(BY1/BY2) 19 U3 DAC

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 商业贸易


经营许可证编号:宁ICP备18001539号-1