第六章时序逻辑电路分析和设计.ppt

上传人:西安人 文档编号:5030623 上传时间:2020-01-29 格式:PPT 页数:101 大小:2.21MB
返回 下载 相关 举报
第六章时序逻辑电路分析和设计.ppt_第1页
第1页 / 共101页
第六章时序逻辑电路分析和设计.ppt_第2页
第2页 / 共101页
第六章时序逻辑电路分析和设计.ppt_第3页
第3页 / 共101页
第六章时序逻辑电路分析和设计.ppt_第4页
第4页 / 共101页
第六章时序逻辑电路分析和设计.ppt_第5页
第5页 / 共101页
点击查看更多>>
资源描述

《第六章时序逻辑电路分析和设计.ppt》由会员分享,可在线阅读,更多相关《第六章时序逻辑电路分析和设计.ppt(101页珍藏版)》请在三一文库上搜索。

1、第六章 时序逻辑电路分析和设计,6.2 时序逻辑电路的一般分析方法,6.3 时序逻辑电路的设计方法,6.4 计数器,6.5 数码寄存器与移位寄存器,6.1 时序逻辑电路的基本概念,重庆工学院电子信息与自动化学院,6.1 时序逻辑电路的基本概念,一、 时序逻辑电路的结构及特点 时序逻辑电路: 任何一个时刻的输出状态不仅取决于当时的输 入信号,还与电路的原状态有关。 时序电路的特点:(1)含有记忆元件(最常用的是触发器)。 (2)具有反馈通道。,时序数字电路输出逻辑函数的一般表达式为:,Zi(t)= Fi X1(t),Xn(t),Q1(t),Qk(t) (i=1,2,3,m),输出量是与外部输入X

2、n和存储部分的状态变量Qk有关的时间函数。它的存储部分控制布尔函数或称状态控制函数(驱动方程),表达式为:,Di(t)= Gi X1(t),Xn(t),Q1(t),Qk(t) (i=1,2,3,m),Qn+1为下一时刻的新状态,Qn为现状态。,代入存储单元本身的特征方程得到状态方程为: Qn+1 = Hi( Di(t), Qn ),一、分析时序逻辑电路的一般步骤 1由逻辑图写出下列各逻辑方程式: (1)各触发器的时钟方程。 (2)时序电路的输出方程。 (3)各触发器的驱动方程。 2将驱动方程代入相应触发器的特性方程,求得时序逻辑电路的状态方程。 3根据状态方程和输出方程,列出该时序电路的状态表

3、,画出状态图或时序图。 4根据电路的状态表或状态图说明给定时序逻辑电路的逻辑功能。,6.2 时序逻辑电路的一般分析方法,二、同步时序逻辑电路的分析举例,例6.2.1:试分析如图所示的时序辑电路。,解:该电路为同步时序逻辑电路,时钟方程可以不写。 (1)写出输出方程:,(2)写出驱动方程:,(3)写出JK触发器的特性方程,然后将各驱动方程代入JK触发器的特性方程,得各触发器的次态方程:,(4)作状态转换表及状态图 当X=0时:触发器的次态方程简化为:,作出X=0的状态表:,输出方程简化为:,0 0,0 1,0 1,1 0,0,1 0,0 0,0,1,当X=1时:触发器的次态方程简化为:,作出X=

4、1的状态表:,将X=0与X=1的状态图合并起来得完整的状态图。,输出方程简化为:,各触发器的次态方程:,0 0,1 0,1 0,0 1,1,0 1,0 0,0,0,根据状态表或状态图,可画出在CP脉冲作用下电路的时序图。,(5)画时序波形图。,(6)逻辑功能分析:,当X=1时,按照减1规律从10010010循环变化,并每当转换为00状态(最小数)时,输出Z=1。,该电路一共有3个状态00、01、10。,当X=0时,按照加1规律从00011000循环变化,并每当转换为 10状态(最大数)时,输出Z=1。,所以该电路是一个 可的3进制计数器。,CP1=Q0 (当FF0的Q0由01时,Q1才可能改变

5、状态。),三、异步时序逻辑电路的分析举例,例6.2.2:试分析如图所示的时序逻辑电路,该电路为异步时序逻辑电路。具体分析如下:,(1)写出各逻辑方程式。,时钟方程:,CP0=CP (时钟脉冲源的上升沿触发。),输出方程:,各触发器的驱动方程:,(3)作状态转换表。,(2)将各驱动方程代入D触发器的特性方程,得各触发器的次态方程:,CP由01时此式有效),(Q0由01时此式有效),0 0,1,0,0,0,1,1,1 1,0,1,0,1 0,1,0,0 1,0,0,0,(4)作状态转换图、时序图。,(5)逻辑功能分析 该电路一共有4个状态00、01、10、11,在CP作用下,按照减1规律循环变化,

6、所以是一个4进制减法计数器,Z是借位信号。,异步电路演示,例6.2.3:试分析如图所示的时序逻辑电路。,(1)写出时钟方程, 驱动方程:,(2)将各驱动方程代入触发器的特性方程,得各触发器的次态方程,(3)状态转换表(真值表),(4)作状态转换图、时序图。,6.3 时序逻辑电路的设计方法,一、同步时序逻辑电路的设计方法,1同步时序逻辑电路的设计步骤,(3)状态分配,又称状态编码。即把一组适当的二进制代码分配给 简化状态图(表)中各个状态。,(1)根据设计要求,设定状态,导出对应状态图或状态表。,(2)状态化简。消去多余的状态,得简化状态图(表)。,(4)选择触发器的类型。,(5)根据编码状态表

7、以及所采用的触发器的逻辑功能,导出待设计 电路的输出方程和驱动方程。,(6)根据输出方程和驱动方程画出逻辑图。,(7)检查电路能否自启动。,同步计数器的设计举例,例6.5.1 设计一个同步5进制加法计数器,(2)状态分配,列状态转换编码表。,(1)根据设计要求,设定状态, 画出状态转换图。该状态图不须化简。,(3)选择触发器。选用JK触发器。,(4)求各触发器的驱动方程和进位输出方程。 列出JK触发器的驱动表,画出电路的次态卡诺图。,根据次态卡诺图和JK触发器的驱动表可得各触发器的驱动卡诺图:,再画出输出卡诺图,可得电路的输出方程:,(5)将各驱动方程归纳如下:,(6)画逻辑图。,利用逻辑分析

8、的方法画出电路完整的状态图。,(7)检查能否自启动,可见,如果电路进入无效状态101、110、111时,在CP脉冲作用下,分别进入有效状态010、010、000。所以电路能够自启动。,一般时序逻辑电路的设计举例,典型的时序逻辑电路具有外部输入变量X,所以设计过程要复杂一些。,S0初始状态或没有收到1时的状态;,例6.5.2 设计一个串行数据检测器。该检测器有一个输入端X,它的功能是对输入信号进行检测。当连续输入三个1(以及三个以上1)时,该电路输出Y=1,否则输出Y=0。,解: (1)根据设计要求,设定状态:,S2连续收到两个1后的状态;,S1收到一个1后的状态;,S3连续收到三个1(以及三个

9、以上1)后的状态。,(3)状态化简。观察上图可知,S2和S3是等价状态,所以将S2和S3合并,并用S2表示,得简化状态图:,(2)根据题意可画出始状态转移表(图):,状态转移表,(4)状态分配。 该电路有3个状态,可以用2位二进制代码组合(00、01、10、11)中的 三个代码表示。本例取S0=00、S1=01、S2=11。,(6)求出状态方程、输出方程和驱动方程。,由输出卡诺图可得电路的输出方程:,状态转换真值表,(5)列出状态转换真值表。,根据状态卡诺图,写出状态方程:,选择触发器,求驱动方程:,如选D触发器:,如选JK触发器:,如选触发器:,(1) 建立原始状态图和状态表,根据设计命题要

10、求初步画出的状态图和状态表,称为原始状态图和原始状态表,它们可能包含多余状态。从文字描述的命题到原始状态图的建立往往没有明显的规律可循,因此,在时序电路设计中这是较关键的一步。画原始状态图、列原始状态表一般按下列步骤进行: 分析题意, 确定输入、 输出变量。 设置状态。 首先确定有多少种信息需要记忆, 然后对每一种需要记忆的信息设置一个状态并用字母表示。 确定状态之间的转换关系, 画出原始状态图, 列出原始状态表。,一般时序逻辑电路设计的几个问题,例 设计一个111串行数据检测器。,定义状态和列出原始状态表:,为了正确检测输入序列,该检测器只有在“记忆”前两位输入序列为11后,再输入1时,输出

11、才为1。,所以需要定义“记忆”前两位输入序列的状态为A(00),B(01),C(10),D(11)四种情况。 列出原始状态表:,状态转移表,解: 确定输入变量和输出变量。输入变量X为串行输入余3码, 高位在前, 低位在后; 输出变量Z为误码输出。,例 建立一个余3码误码检测器的原始状态图和原始状态表,余3码高位在前、低位在后串行地加到检测器的输入端。电路每接收一组代码,即在收到第四位代码时判断一下。若是错误代码,则输出为1,否则输出为0,电路又回到初始状态并开始接收下一组代码。, 设置状态。 该电路属于串行码组检测,对输入序列每四位一组进行检测后才复位,以表示前一组代码已检测结束并准备下一组代

12、码的检测,因此,初始状态表示电路准备开始检测一组代码。从初始状态开始,每接收一位代码便设置一个状态。例如,电路处于初始状态S0,收到余3码的第一位(最高位),代码可能是1,也可能是0。若为0,状态转到S1分支;若为1,状态转到S2分支。当电路分别处于S1或S2状态时,表示电路将接收第二位代码,当第二位代码到达,由S1派生出S3和S4分支,由S2派生出S9和S10分支。 若电路处于S5,表示已收到了输入序列的高三位(余3码的高三位)为000,因而,不论收到第四位数码是0还是1,均应回到S0状态(一组代码检测结束),且输出Z=1,表示收到的是错误代码。,余3码误码检测器的原始状态图,( 2 ) 状

13、态化简,在建立原始状态图和原始状态表时,将重点放在正确地反映设计要求上,因而往往可能会多设置一些状态,但状态数目的多少将直接影响到所需触发器的个数。对于具有M个状态的时序电路来说, 所需触发器的个数n由下式决定:,可见,状态数目减少会使触发器的数目减少并简化电路。 因此,状态简化的目的就是要消去多余状态,以得到最简状态图和最简状态表。, 状态的等价: 设Si和Sj是原始状态表中的两个状态,若分别以Si和Sj为初始状态,加入任意的输入序列,电路均产生相同的输出序列, B并且两个状态的转移效果相同,则称Si和Sj是等价状态或等价状态对,记作SiSj。凡是相互等价的状态都可以合并成一个状态。,在状态

14、表中判断两个状态是否等价的具体条件如下:,第一:在相同的输入条件下都有相同的输出。 第二:两个状态的转移效果相同。 这可能有三种情况: 次态相同; 次态交错; 次态互为隐含条件。,余3码误码检测器的原始状态表,例如,原始状态表中,对于状态S2和S5, 当输入X=0时,输出相同(输出都为1),次态也相同(次态都为S5);当输入X=1时,输出相同(输出都为0),次态也相同(次态都为S3)。即可以确定,若分别以S2和S5为初始状态,加入任意的输入序列,电路均产生相同的输出序列。因此,状态S2和S5为等价状态,记作S2 S5。,再看S6和S7 两个状态。当输入X=1时,输出相同,次态也相同;当输入X=

15、0时,次态交错。这说明无论以S6还是以S7为初始状态,在接收到输入1以前将不断地在S6和S7之间相互转换,且保持输出为1;一旦收到了输入1,则都转向S5。 因此,从转移效果来看它们是相同的,这两个状态等价, 记作S6 S7。,对于S1和S3这两个状态,当输入X=1时,输出相同,次态交错;当输入X=0时,输出相同,次态分别是S2和S4,而S2和S4是否等价的隐含条件是S1和S3等价,这就是互为隐含条件的情况,其转移效果也是相同的,所以S1和S3等价,S2和S4也等价,记作S1,S3、 S2,S4。,余3码误码检测器的原始状态表,等价状态具有传递性:若Si和Sj等价,Si和Sk等价,则Sj和Sk也

16、等价,记作SjSk。相互等价状态的集合称为等价类, 凡不被其它等价类所包含的等价类称为最大等价类。例如, 根据等价状态的传递性可知,若有SiSj和SiSk,则有SjSk,它们都称为等价类,而只有SiSjSk才是最大等价类。另外,在状态表中,若某一状态和其它状态都不等价,则其本身就是一个最大等价类。状态表的化简, 实际就是寻找所有最大等价类,并将最大等价类合并,最后得到最简状态表。所以,所有最大等价类为S1S3S2S4S5S6S7,化简后的状态表如下所示。,最简状态表, 隐含表化简,a. 建隐含表,b. 顺序比较: 对原始状态表中的每一对状态逐一比较, 结果有三种情况: 状态对肯定不等价, 在小

17、格内填。 状态对肯定等价, 在小格内填 。 状态是否等价取决于隐含条件的,则把隐含状态对填入,需作进一步比较。,c. 关连比较: 对顺序比较中需要进一步比较的状态对进行比较.,d. 找出最大等价类.,e. 列出最简状态表.,余3码误码检测器的原始状态表,2,4,5,7 3,5,5,6 3,5,1,3,5,7 1,5,5,6 1,5,5,7 3,5,5,6 3,5,隐含表简化状态,其等价状态为: S1S3S2S4S5S6S7,( 3 ) 状态分配,状态分配是指将状态表中每一个字符表示的状态赋以适当的二进制代码,得到代码形式的状态表(二进制状态表),以便求出激励函数和输出函数, 最后完成时序电路的

18、设计。状态分配合适与否,虽然不影响触发器的级数,但对所设计的时序电路的复杂程度有一定的影响。然而,要得到最佳分配方案是很困难的。这首先是因为编码的方案太多,如果触发器的个数为n,实际状态数为M,则一共有2n种不同代码。 若要将2n种代码分配到M个状态中去,并考虑到一些实际情况,有效的分配方案数为 :,可见,当M增大时,N值将急剧增加,要寻找一个最佳方案很困难。此外,虽然人们已提出了许多算法,但也都还不成熟,因此在理论上这个问题还没解决。 在众多算法中,相邻法比较直观、简单,便于采用。它有三条原则,即符合下列条件的状态应尽可能分配相邻的二进制代码: 具有相同次态的现态。 同一现态下的次态。 具有

19、相同输出的现态。 三条原则以第一条为主, 兼顾第二、 第三条。,二、异步时序逻辑电路的设计方法,异步时序电路的设计比同步电路多一步,即求各触发器的时钟方程。,(1)根据设计要求,设定7个状态S0S6。进行状态编码后,列出状态转换表。,例6.5.3 设计一个异步7进制加法计数器.,(2)选择触发器。本例选用下降沿触发的JK触发器。,(3)求各触发器的时钟方程,即为各触发器选择时钟信号。,为触发器选择时钟信号的原则是: 触发器状态需要翻转时,必须要有时钟信号的翻转沿送到。 触发器状态不需翻转时,“多余的” 时钟信号越少越好。,画出7进制计数器的时序图:,根据上述原则,选:,(4)求各触发器的驱动方

20、程和进位输出方程。,画出电路的次态卡诺图和JK触发器的驱动表:,由次态卡诺图和触发器的驱动表求驱动方程:,(5)画逻辑图。,将各驱动方程归纳如下:,再画出输出卡诺图,,得电路的输出方程:,用逻辑分析的方法画出电路完整的状态图:,(6)检查能否自启动。,可见,当电路进入无效状态111时,在CP脉冲作用下可进入有效状态000。所以电路能够自启动。,计数器用以统计输入脉冲CP个数的电路。,6.4 计数器,计数器的分类:,(2)按数字的增减趋势可分为加法计数器、减法计数器和可逆计数器。,(1)按计数进制可分为二进制计数器和非二进制计数器。 非二进制计数器中最典型的是十进制计数器。,(3)按计数器中触发

21、器翻转是否与计数脉冲同步分为同步计数器和异步计数器。,一、二进制计数器,1二进制异步计数器 (1)二进制异步加法计数器(4位),工作原理: 4个JK触发器都接成T触发器。,每当Q2由1变0,FF3向相反的状态翻转一次。,每来一个CP的下降沿时,FF0向相反的状态翻转一次;,每当Q0由1变0,FF1向相反的状态翻转一次;,每当Q1由1变0,FF2向相反的状态翻转一次;,用“观察法”作出该电路的时序波形图和状态图。,由时序图可以看出,Q0、Ql、Q2、Q3 的周期分别是计数脉冲(CP)周 期的2倍、4倍、8倍、16倍,因而计数器也可作为分频器。,(2)二进制异步减法计数器,用4个上升沿触发的D触发

22、器组成的4位异步二进制减法计数器。,工作原理:D触发器也都接成T触发器。 由于是上升沿触发,则应将低位触发器的Q端与相邻高位触发器的时钟脉冲输入端相连,即从Q端取借位信号。它也同样具有分频作用。,二进制异步减法计数器的时序波形图和状态图。,在异步计数器中,高位触发器的状态翻转必须在相邻触发器产生进位信号(加计数)或借位信号(减计数)之后才能实现,所以工作速度较低。为了提高计数速度,可采用同步计数器。,2二进制同步计数器,(1)二进制同步加法计数器,由于该计数器的翻转规律性较强,只需用“观察法”就可设计出电路:,因为是“同步”方式,所以将所有触发器的CP端连在一起,接计数脉冲。 然后分析状态图,

23、选择适当的JK信号。,分析状态图可见: FF0:每来一个CP,向相反的状态翻转一次。所以选:J0=K0=1,FF1:当Q0=1时,来一个CP,向相反的状态翻转一次。 所以选:J1=K1= Q0,FF2:当Q0Q1=1时, 来一个CP,向相反的状态翻转一次。 所以选:J2=K2= Q0Q1,FF3: 当Q0Q1Q2=1时, 来一个CP,向相反的状态翻转一次。 所以选:J3=K3= Q0Q1Q2,(2)二进制同步减法计数器,分析4位二进制同步减法计数器的状态表,很容易看出,只要将各 触发器的驱动方程改为:,将加法计数器和减法计数器合并起来,并引入一加/减控制信号X便构成4位二进制同步可逆计数器,各

24、触发器的驱动方程为:,就构成了4位二进制同步减法计数器。,(3)二进制同步可逆计数器,当控制信号X=1时,FF1FF3中的各J、K端分别与低位各触发器的Q端相连,作加法计数。,作出二进制同步可逆计数器的逻辑图:,实现了可逆计数器的功能。,当控制信号X=0时,FF1FF3中的各J、K端分别与低位各触发器的端相连,作减法计数。,3集成二进制计数器举例,(1)4位二进制同步加法计数器74161, 异步清零。,74161具有以下功能:, 计数。, 同步并行预置数。,RCO为进位输出端。, 保持。,(2)4位二进制同步可逆计数器74191,二、非二进制计数器,N进制计数器又称模N计数器。,当N=2n时,

25、就是前面讨论的n位二进制计数器;,当N2n时,为非二进制计数器。非二进制计数器中 最常用的是十进制计数器。,1 8421BCD码同步十进制加法计数器,用前面介绍的同步时序逻辑电路分析方法对该电路进行分析。,(1)写出驱动方程:,然后将各驱动方程代入JK触发器的特性方程,得各触发器的次态方程:,(2)转换成次态方程: 先写出JK触发器的特性方程,(3)作状态转换表。,设初态为Q3Q2Q1Q0=0000,代入次态方程进行计算,得状态转换表。,0 0 0 0,1,0,0,0,0 0 0 1,0 0 1 0,0 0 1 1,0 1 0 0,0 1 0 1,0 1 1 0,0 1 1 1,1 0 0 0

26、,1 0 0 1,0,1,0,0,1,1,0,0,0,0,0,0,(4)作状态图和时序图。,(5)检查电路能否自启动,用同样的分析方法分别求出6种无效状态下的次态,得到完整的状态转换图。,由于电路中有4个触发器,它们的状态组合共有16种。而在8421BCD码计数器中只用了10种,称为有效状态。其余6种状态称为无效状态。,当由于某种原因,使计数器进入无效状态时,如果能在时钟信号作用下,最终进入有效状态,我们就称该电路具有自启动能力。,可见,该计数器能够自启动。,28421BCD码异步十进制加法计数器,CP2=Q1 (当FF1的Q1由10时,Q2才可能改变状态。),用前面介绍的异步时序逻辑电路分析

27、方法对该电路进行分析:,(1)写出各逻辑方程式。,时钟方程: CP0=CP (时钟脉冲源的下降沿触发。),CP1=Q0 (当FF0的Q0由10时,Q1才可能改变状态。),CP3=Q0 (当FF0的Q0由10时,Q3才可能改变状态), 各触发器的驱动方程:,(2)将各驱动方程代入JK触发器的特性方程,得各触发器的次态方程:,(CP由10时此式有效),(Q0由10时此式有效),(Q1由10时此式有效),(Q0由10时此式有效),(3)作状态转换表。,设初态为Q3Q2Q1Q0=0000,代入次态方程进行计算,得状态转换表。,0 0 0 0,0 0 0 1,0 0 1 0,0 0 1 1,0 1 0

28、0,0 1 0 1,0 1 1 0,0 1 1 1,1 0 0 0,1 0 0 1,1,0,0,0,0,1,0,0,1,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,3集成十进制计数器举例,(1)8421BCD码同步加法计数器74160,(2)二五十进制异步加法计数器74290,二进制计数器的时钟输入端为CP1,输出端为Q0; 五进制计数器的时钟输入端为CP2,输出端为Q1、Q2、Q3。,74290包含一个独立的1位二进制计数器和一个独立的五进制计数器。,如果将Q0与CP2相连,CP1作时钟输入端,Q0Q3作输出端,则为8421BCD码十进制计数器。如果将Q3与CP0相连,CP2

29、作时钟输入端,从高位到低位的输出为Q0 Q3 Q2 Q1 时,则构成5421BCD码十进制计数器。,74290的功能:, 异步清零。, 计数。, 异步置数(置9)。,7490 演示,三、集成计数器的应用,(1)同步级联。 例:用两片4位二进制加法计数器74161采用同步级联方式构成的8位二进制同步加法计数器,模为1616=256。,1计数器的级联,(2)异步级联 例:用两片74191采用异步级联方式构成8位二进制异步可逆计数器。,(3)用计数器的输出端作进位/借位端,有的集成计数器没有进位/借位输出端,这时可根据具体情况, 用计数器的输出信号Q3、Q2、Q1、Q0产生一个进位/借位。,例:用两

30、片74290采用异步级联方式组成的二位8421BCD码十进制加法计数器。 模为1010=100,2组成任意进制计数器,(1)异步清零法适用于具有异步清零端的集成计数器。,例:用集成计数器74160和与非门组成的6进制计数器。,EWB演示160组成6进制,(2)同步清零法,同步清零法适用于具有同步清零端的集成计数器。 例:用集成计数器74163和与非门组成的6进制计数器。,EWB演示163组成6进制,(3)异步预置数法,异步预置数法适用于具有异步预置端的集成计数器。 例:用集成计数器74191和与非门组成的余3码10进制计数器。,EWB演示191组成余3码十进制,(4)同步预置数法,同步预置数法

31、适用于具有同步预置端的集成计数器。 例:用集成计数器74160和与非门组成的7进制计数器。,EWB演示160组成7进制,计数器演示,例6.3.1 用74160组成48进制计数器。,先将两芯片采用同步级联方式连接成100进制计数器, 然后再用异步清零法组成了48进制计数器。,解:因为N48,而74160为模10计数器,所以要用两片74160构成.。,例6.3.2 用74161组成12进制计数器。,3组成分频器,前面提到,模N计数器进位输出端输出脉冲的频率是输入脉冲频率的1/N,因此可用模N计数器组成N分频器。,解: 因为32768=215,经15级二分频,就可获得频率为1Hz的脉冲信号。因此将四

32、片74161级联,从高位片(4)的Q2输出即可。,例6.3.2 某石英晶体振荡器输出脉冲信号的频率为32768Hz,用74161组成分频器,将其分频为频率为1Hz的脉冲信号。,4组成序列信号发生器,序列信号在时钟脉冲作用下产生的一串周期性的二进制信号。,例:用74161及门电路构成序列信号发生器。,其中74161与G1构成了一个模5计数器。 ,因此,这是一个01010序列信号发生器,序列长度P=5。,例6.3.3 试用计数器74161和数据选择器设计一个01100011序列发生器。,解:由于序列长度P=8,故将74161构成模8计数器,并选用数据选择器74151产生所需序列。,5组成脉冲分配器

33、,6.5 数码寄存器与移位寄存器,集成数码寄存器74LSl75 :,一、 数码寄存器,数码寄存器存储二进制数码的时序电路组件,74LS175的功能:,RD是异步清零控制端。,D0D3是并行数据输入端,CP为时钟脉冲端。,Q0Q3是并行数据输出端。,二、移位寄存器,移位寄存器不但可以寄存数码,而且在移位脉冲作用下,寄存器中的数码可根据需要向左或向右移动1位。,1单向移位寄存器,(1)右移寄存器(D触发器组成的4位右移寄存器) 右移寄存器的结构特点:左边触发器的输出端接右邻触发器的输入端。,设移位寄存器的初始状态为0000,串行输入数码DI=1101,从高位到低位依次输入。其状态表如下:,1,1,

34、1,2,0,3,1,4,右移寄存器的时序图:,由于右移寄存器移位的方向为DIQ0Q1Q2Q3,所以又称上移寄存器。,在4个CP作用下,输入的4位串行数码1101全部存入了寄存器中。这种方式称为串行输入方式。,(2)左移寄存器,2 双向移位寄存器 将右移寄存器和左移寄存器组合起来,并引入一控制端S便构成既可左移又可右移的双向移位寄存器。,左移寄存器的结构特点:右边触发器的输出端接左邻触发器的输入端。,当S=1时,D0=DSR、D1=Q0、D2=Q1、D3=Q2,实现右移操作;,其中,DSR为右移串行输入端,DSL为左移串行输入端。,当S=0时,D0=Q1、D1=Q2、D2=Q3、D3=DSL,实

35、现左移操作。,D触发器组成的双向移位寄存器:,三、集成移位寄存器74194,74194为四位双向移位寄存器。,Q0和Q3分别是左移和右移时的串行输出端,Q0、Q1、Q2和Q3为并行输出端。,DSL 和DSR分别是左移和右移串行输入。D0、D1、D2和D3是并行输入端。,74194的功能表,四、移位寄存器构成的移位型计数器,1. 环形计数器,环形计数器的特点: 电路简单,N位移位寄存器可以计N个数,实现模N计数器。状态为1的输出端的序号等于计数脉冲的个数,通常不需要译码电路。,2扭环形计数器,为了增加有效计数状态,扩大计数器的模,可用扭环形计数器。,一般来说,N位移位寄存器可以组成模2N的扭环形

36、计数器,只需将末级输出反相后,接到串行输入端。,本章小结,1时序逻辑电路的特点;任一时刻输出状态不仅取决于当时的输入信号,还与电路的原状态有关。因此时序电路中必须含有存储器件。,4时序逻辑电路的设计步骤一般为:设计要求最简状态表编码表次态卡诺图驱动方程、输出方程逻辑图。,2描述时序逻辑电路逻辑功能的方法有状态转换真值表、状态转换图和时序图等。,3时序逻辑电路的分析步骤一般为:逻辑图时钟方程(异步)、驱动方程、输出方程状态方程状态转换真值表状态转换图和时序图逻辑功能。,5计数器是一种简单而又最常用的时序逻辑器件。计数器不仅能用于统计输入脉冲的个数,还常用于分频、定时、产生节拍脉冲等。,7寄存器也是一种常用的时序逻辑器件。寄存器分为数码寄存器和移位寄存器两种。,6用已有的M进制集成计数器产品可以构成N(任意)进制的计数器。,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 商业贸易


经营许可证编号:宁ICP备18001539号-1