Verilog期末实验报告—波形发生器.doc

上传人:李医生 文档编号:6077277 上传时间:2020-09-05 格式:DOC 页数:8 大小:480.50KB
返回 下载 相关 举报
Verilog期末实验报告—波形发生器.doc_第1页
第1页 / 共8页
Verilog期末实验报告—波形发生器.doc_第2页
第2页 / 共8页
Verilog期末实验报告—波形发生器.doc_第3页
第3页 / 共8页
Verilog期末实验报告—波形发生器.doc_第4页
第4页 / 共8页
Verilog期末实验报告—波形发生器.doc_第5页
第5页 / 共8页
点击查看更多>>
资源描述

《Verilog期末实验报告—波形发生器.doc》由会员分享,可在线阅读,更多相关《Verilog期末实验报告—波形发生器.doc(8页珍藏版)》请在三一文库上搜索。

1、一、实验目的使用Verilog软件编写四种波形任意发生器的源代码,用modelsim软件进行仿真测试,进一步强化Verilog,modelsim软件的编程能力为进一步的编程学习打下良好的基础。二、实验原理2.1该任意波形发生器要实现三个功能:(1)通过计数器并结合拼接操作产生四种波形正弦波,方波,三角波1,三角波形的5位数据地址。(2).设定ROM中对应波形地址地址的8位数值,将所有波形数值存储到ROM中。(3).设定2位的波形选择开关端口。波形选择 正弦波 方波三角波1三角波2 2bit地址发生器(0-7) 0000000111clk 01000011113bit data7:0reset

2、1000010111 1100011111图 1整体设计方案2.2 四种波形要在一个周期内等间隔取8个点,定义对应的数据,下图为示意图,由于编程序需要,数据会进行相应的修改。8881 三角波1 三角波2xy00yyx1x1 方波 正弦波1-1 图2 四种波形一个周期内的取样示意图2.3 四种波形数据地址对应的数据的存储器ROM根据示意图,由于实际情况需要,将正弦波平移至x轴以上,并将所有波形的峰峰值取大100倍。下表1是ROM存储器 正弦波波形选择地址 取样点的地址 ROM数据 000001710000120000010171000111000010029001010001102900111

3、方波1000100000100100101000101100110010001101100011101000111110010000 三角波1010001010010331001167101001001010167101103310111 三角波201100001100150110101001101115011100200111011331111067111110 表1 ROM存储器3、 实验内容3.1 任意波形发生器verilog程序代码:module wave(data,clk,add1,reset);/顶层模块端口定义output7:0data;input clk,reset;input

4、1:0 addr1;Wire1:0 addr1;wire clk,reset;/输入输出变量定义ADDR 4(addr,clk,addr1,reset);/地址发生器模块调用rom 1(addr,data);/ROM存储器模块调用endmodulemodule ADDR(addr,clk,addr1,reset);output4:0 addr;input clk,reset;wire clk,reset;reg2:0 addr2;wire1:0 addr1;reg4:0 addr;initial addr2=3b000; /定义计数初值always (posedge clk or posedg

5、e reset)/每当有clk,或reset信号开启程序beginif(reset) beginaddr2=0;/同步复位addr=7)/addr2计数至7时,addr2复位beginaddr2=0;endelse beginaddr2=addr2+1;/addr2由0至7计数addr=addr1,addr2;/addr1与addr2地址拼接为addr的最终地址endendendmodulemodule rom(addr,data);/数据存储器模块input4:0 addr;output7:0 data;function6:0 romout;/定义函数,存储32个波形取样点地址的数据inpu

6、t4:0 addr;reg4:0 addr;wire7:0 data;case(addr)/根据不同地址,得到不同数据。0 : romout =171 ;1 : romout =200 ;2 : romout =171 ;3 : romout =100 ;4 : romout =29 ;5 : romout =0 ;6 : romout =29 ;7 : romout =100 ;8 : romout =0 ;9 : romout =0 ;10 : romout =0 ;11 : romout =0 ;12 : romout =100 ;13 : romout =100 ;14 : romou

7、t =100 ;15 : romout =100 ;16 : romout =0 ;17 : romout =0 ;18 : romout =33 ;19 : romout =67 ;20 : romout =100 ;21 : romout =67 ;22 : romout =33 ;23 : romout =0 ;24 : romout =0 ;25 : romout =50 ;26 : romout =100 ;27 : romout =150 ;28 : romout =200 ;29 : romout =133 ;30 : romout =67 ;31 : romout =0 ;de

8、fault : romout = 8hxx;endcaseendfunctionassign data = romout(addr);endmodule3.2 verilog在quartus软件仿真截图将代码输入后,建立波形文件,定义输入输出端口后进行仿真。3.2.1正弦波示意图:将两位波形选择端设置为00,得出正弦波示意图2图23.2.2.方波示意图:将两位波形选择端设置为01,得出方波示意图3图33.2.3 三角波1示意图:将两位波形选择端设置为10,得出三角波1示意图4图43.2.4.三角波2示意图:将两位波形选择端设置为11,得出三角波2示意图5图53.2.5结论:由上面的四个波形截图

9、可知,在一个周期内,通过不同的波形选择端控制,该程序实现了基本的任意波形产生的功能,产生了正确的波形数据,说明代码编写正确。3.3 modelsim任意波形发生器测试程序仿真3.3.1 任意波形发生器测试程序代码。timescale 1ns/1nsinclude wave.vmodule wave_tp; /测试模块的名字reg reset,clk;reg1:0 addr1; /测试输入信号addr1定义为reg 型wire7:0 data; /测试输出信号data定义为wire 型parameter dely=100;wave fourwave(data,clk,reset,addr1);

10、/调用测试对象always #(dely/2) clk=clk;initial beginreset=1;clk=0;addr1=0;#(dely*200) reset=0;/延迟200dely后先进行复位#(dely*200) addr1=2b00;/延迟200dely后测试出正弦波#(dely*200) addr1=2b01;/延迟200dely后测试出方波#(dely*200) addr1=2b10;/延迟200dely后测试出三角波1#(dely*200) addr1=2b11;/延迟200dely后测试出三角波2#(dely*200) $finish;/endendmodule 3.

11、3.2 modelsim测试程序仿真截图将测试程序代码与源程序代码一起输入后进行编译,显示波形如下:3.3.2.1正弦波测试图6:将波形输出格式设为模拟形式,可以看出正弦波的测试图图63.3.2.2方波测试图7:将波形输出格式设为离散输出形式,可以看出方波的测试图7图73.2.2.3三角波1测试图8:将波形输出格式设为模拟形式,可以看出三角波1的测试图8图83.2.2.4三角波2测试图9:将波形输出格式设为模拟形式,可以看出三角波2的测试图9图93.3.3.总结由上面的四个测试波形截图可知,在一个周期内,通过不同的波形选择端控制,该程序实现了基本的任意波形产生的功能,产生了正确的实验波形,说明

12、代码编写正确。4、 实验总结4.1遇到的问题和对应的解决措施:(1)编程过程中没有注意端口定义过程,没有正确理解wire与reg类型的区别。导致出错(解决办法:输出端口应设为可变的wire类型)。(2)一开始拼接操作用错了地方,用在了ROM内部,导致错误。(解决办法:应用在加法计数操作后)。(3)波形数据初值有负数,无法正确显示数字。(解决办法:将波形数据平移至x轴以上即可显示正确的波形数据)(4)一开始我是用拼接操作,有错误,无法正确显示波形数据(解决办法:通过case语句输入波形选择addr1端口,成功显示了波形)。4.2收获: 通过这次期末实验,虽然花了较多时间,遇到了许多的难题,但让我进一步认识了Verilog语言的使用,详细了解了整个程序的设计和仿真过程,独立思考并通过很多的调试,摸索和优化实验程序,最终完成实验,锻炼了我设计verilog程序能力,十分感谢刘春平老师的指导和其他同学的帮助。 本课程综合性较强,在实验中涉及了本学期多个知识点: always语句;initial语句;ifelse语句;case语句;传递函数assign;function说明语句,但都属于我们能掌握的基础内容,很好地促进了我们进一步学习的激情和自信心。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 科普知识


经营许可证编号:宁ICP备18001539号-1