D触发器实现的2分频与2倍频.doc

上传人:韩长文 文档编号:6290587 上传时间:2020-10-18 格式:DOC 页数:2 大小:32.50KB
返回 下载 相关 举报
D触发器实现的2分频与2倍频.doc_第1页
第1页 / 共2页
D触发器实现的2分频与2倍频.doc_第2页
第2页 / 共2页
亲,该文档总共2页,全部预览完了,如果喜欢就下载吧!
资源描述

《D触发器实现的2分频与2倍频.doc》由会员分享,可在线阅读,更多相关《D触发器实现的2分频与2倍频.doc(2页珍藏版)》请在三一文库上搜索。

1、.D触发器实现二分频与二倍频先来二分频的其基本思想是将D触发器改成T触发器,每隔一个时钟周期,输出时钟反向一次。这样就达到了二分频的目的。下面列出Verilog代码:module div2(clk,rst_n,clk_out);input clk,rst_n;output clk_out;wire clk_temp;always (posedge clk)beginif(rst_n)beginclk_out=0;endelseclk_out=clk_temp;endassign clk_temp=clk_out;endmodule仿真图如下:精品.下面是二倍频的基本思想:通过逻辑延时,使同频时

2、钟相位改变,而后将两个时钟相或即可得到二倍频电路,不过占空比不可调,由两个时钟相位差决定。Verilog代码如下:module twice (clk, clk_out);input clk; output clk_out; wire clk_temp;wire d_outn;reg d_out=0;assign clk_temp = clk d_out ;assign clk_out = clk_temp ;assign d_outn = d_out ;always(posedge clk_temp)begind_out = d_outn ; endendmodule由于它是靠延时产生的时钟,所以只能进行时序仿真才能看到波形,仿真波形如下:大家可以自己在quartus下仿真如有侵权请联系告知删除,感谢你们的配合!精品

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 科普知识


经营许可证编号:宁ICP备18001539号-1