芯片制造工艺与芯片测试.ppt

上传人:yyf 文档编号:6294963 上传时间:2020-10-21 格式:PPT 页数:65 大小:3.10MB
返回 下载 相关 举报
芯片制造工艺与芯片测试.ppt_第1页
第1页 / 共65页
芯片制造工艺与芯片测试.ppt_第2页
第2页 / 共65页
芯片制造工艺与芯片测试.ppt_第3页
第3页 / 共65页
芯片制造工艺与芯片测试.ppt_第4页
第4页 / 共65页
芯片制造工艺与芯片测试.ppt_第5页
第5页 / 共65页
点击查看更多>>
资源描述

《芯片制造工艺与芯片测试.ppt》由会员分享,可在线阅读,更多相关《芯片制造工艺与芯片测试.ppt(65页珍藏版)》请在三一文库上搜索。

1、芯片制造工艺与芯片测试,展讯通信 人力资源部 培训与发展组,2020/10/21,2,芯片的制造工艺,1IC 产业链 2 Wafer 的加工过程 3 IC 的封装过程 4 芯片的测试 芯片工艺制造小结,2020/10/21,3,一、IC 产业链,IC 应用,硅片,掩膜,半导体设备 材料厂商 IP/设计服务,IC设计,IC,IC,掩膜数据,用户需求,Wafer CP,Wafer加工,封装,Test,交货,成品,中测,2020/10/21,4,半导体圆柱单晶硅的生长过程,制备Wafer,2020/10/21,5,二、Wafer加工过程,2020/10/21,6,光刻,Photolithograph

2、y,2020/10/21,7,1. Wafer prepare, deep Nwell litho and impl.,二、Wafer加工过程,2020/10/21,8,二、Wafer加工过程,2. Pad oxide, nitride deposit, diffusion litho, trench etch,2020/10/21,9,3. Trench oxide, litho, etch and CMP; Nitride remove and sac oxide,二、Wafer加工过程,2020/10/21,10,4. Pwell litho, impl., VTN impl.,二、Wa

3、fer加工过程,2020/10/21,11,5. Nwell litho, impl.; VTP photo, impl.,二、Wafer加工过程,2020/10/21,12,二、Wafer加工过程,6. Sac oxide remove, gate1 oxide and litho, gate2 oxide,2020/10/21,13,7. Poly deposit, litho, and etch; NLDD photo and impl.; PLDD photo and impl.; Spacer dep. and etch,二、Wafer加工过程,2020/10/21,14,8. N+

4、 S/D photo and impl.; P+ S/D photo and impl.,二、Wafer加工过程,2020/10/21,15,二、Wafer加工过程,9. RPO deposit, photo and etch; Salicide formation,2020/10/21,16,二、Wafer加工过程,10. ILD dep. and CMP,2020/10/21,17,二、Wafer加工过程,11. Cont photo and etch; W dep. and CMP,2020/10/21,18,二、Wafer加工过程,12. Met1 dep., photo and et

5、ch,2020/10/21,19,二、Wafer加工过程,13. Via1, Met2, Via2, Met3, Via3, Met4, CTM4, Via4, TME,2020/10/21,20,二、Wafer加工过程,14. Passivation dep., photo, and etch; Alloy,2020/10/21,21,2020/10/21,22,三、IC 封装过程,2020/10/21,23,BACK GRINDING 晶圆背面研磨,WAFER ,WAFER,TRUCK TABLE,GRINDING WHEEL,三、IC 封装过程,2020/10/21,24,WAFER,W

6、AFER SAW 晶圆切割,WAFER MOUNT,BLUE TAPE,DIE,SAW LADE 划片,三、IC 封装过程,2020/10/21,25,Die Bond(Die Attach) 上 片,BOND HEAD,EPOXY,SUBSTRATE,EPOXY CURE ( DIE BOND CURE),三、IC 封装过程,2020/10/21,26,Wire Bond,GOLD WIRE,CAPILLARY,DIE,PAD,FINGER(INNER LEAD),三、IC 封装过程,2020/10/21,27,Compound,Mold chase,Molding,Gate insert,

7、Runner,Top cull block,Cavity,Top chase,Air vent,Compound Pot Plunger,Substrate Bottom cull block,Bottom chase,三、IC 封装过程,2020/10/21,28,Marking,INK MARK,LASER MARK,SPREADTRUM Sample 20 JUN,SPREADTRUM Sample 20 JUN,SPREADTRUM Sample 20 JUN,SPREADTRUM Sample 20 JUN,三、IC 封装过程,2020/10/21,29,Ball Attach,FL

8、UX,FLUX PRINTING,BALL ATTACH TOOL,BALL ATTACH,VACUUM,SOLDER BALL,REFLOW,三、IC 封装过程,2020/10/21,30,PUNCH,Singulation,ROUTER,SPREADTRUM Sample 20 JUN,SPREADTRUM Sample 20 JUN,SPREADTRUM Sample 20 JUN,SPREADTRUM Sample 20 JUN,SAW SINGULATION,三、IC 封装过程,2020/10/21,31,封装种类,DIP 双列直插 SIP 单列直插 PQFP 塑料方型扁平式封装 B

9、GA 球栅阵列封装 PGA 针栅阵列封装 CSP 芯片尺寸封装 MCM 多芯片封装,2020/10/21,32,2020/10/21,33,四、IC 的测试,TEST OBJECTIVES 测试目的分类 Design Verification 设计验证测试 Production Tests 大生产测试 Characterization Tests 特性分析测试 Failure Analysis Tests 失效分析测试,TESTING STAGES 测试阶段分类 Wafer Sort Testing 晶园测试 (中测) Package Device Testing 成品测试 Incoming

10、Inspection Testing 入厂筛选测试,TEST ITEMS 测试内容分类 Per-Pin Testing 管脚测试 Parametric Testing 参数测试 Functional Testing 功能测试,2020/10/21,34,Wafer Process,Wafer Test,Box & Ship,Final Test,Burn In,Package Assembly,Economic Gate Tester Fault Coverage vs. cost,Wafer Test vs. Final Test,Quality Gate Tester Fault Cove

11、rage vs. Escape cost,Package cost,Process Yield,2020/10/21,35,测试程序开发流程,Device and Test Specifications,Define pinmap, test philosophy, conditions,Select ATE and required config,Develop DIB and interface,Create test waveforms, test patterns, clock/timing solutions,test procedures, simulate off-line,On

12、-Tester debug,Repeatability, correlation, and test time optimization,Test program release to Production,?,2020/10/21,36,芯片工艺过程小节,从硅片到芯片要经过一系列的氧化、光刻、扩散、离子注入、生长多晶硅、淀积氧化层、淀积金属层等等50100到工序 硅片经过一次次物理、化学过程,受到一张张掩模的约束,在硅表明形成了一个个电子器件及连线,由此构成逻辑单元乃至整个系统 简称硅表面加工工艺 芯片制造过程首先要得到的是硅表面加工工艺需要的掩模 掩模制造过程是集成电路设计生产中成本最高的

13、环节,2020/10/21,37,芯片工艺过程小节,2020/10/21,38,思考,全面的功能验证、Timing分析 趋近100的测试覆盖,如何保证数以千万的晶体管能如期协调工作? 如何确保交给用户的成品都是合格的?,2020/10/21,39,芯片的设计研发,1数字系统设计的方法学 2 基于语言描述语言的设计流程,2020/10/21,40,什么是方法学?,Methodology Science of study of methods Sets of methods used (in doing sth) 方法学是关于方法的科学 方法学是做某事的一系列方法 有别于凭经验靠感觉,方法学讲究建

14、立模型推演、以精确的定量的数学方法分析,2020/10/21,41,什么是数字系统设计的方法学?,数字系统设计方法学 设计流程方法学 设计工具方法学 硬件实现方法学,2020/10/21,42,数字系统设计流程方法学,系统级设计 寄存器传输级设计 电路级设计 物理级设计 仿真验证 成品测试,2020/10/21,43,系统级设计,系统需求分析 系统功能定义 系统模块划分、使用那些 IP 确定设计流程、验证方法、测试方法 系统类型简单分类 任务管理 数据处理 数学运算 借助C、SystemC、Verilog、VHDL仿真 在系统设计阶段,关心的是功能、性能和效率,2020/10/21,44,寄存

15、器传输级设计,安排寄存器 设计功能逻辑 设计状态机 把模块功能分解到每个节拍 把算法转换到电路实现 借助硬件描述语言描述设计,并仿真、调试 在寄存器传输级阶段,更关心的是时序和具体运算,2020/10/21,45,电路级设计,由计算机工具综合产生 综合过程考虑的实际因素 库单元的选择、映射 元件、连线的物理延迟 元件的驱动能力及功耗 综合的优点 设计阶段与工艺无关、容易复用 芯片面积、速度自动折中,产品性价比高 易修改、易维护,系统设计能力大大提高 在电路级设计,更关心的是面积和功耗,2020/10/21,46,物理级设计,自动、人工交互布局、布线 DRC 设计规则检查 ERC 电路规则检查

16、电路参数提取 产生最终的版图数据 在物理级设计,更关心的是延迟对功能、性能的影响,2020/10/21,47,仿真验证,设计阶段的仿真验证 验证设计思想,找出描述错误,细化硬件时序 综合后的仿真验证 发现硬件设计在综合过程中由于实际延迟引起的功能错误 布局布线后的仿真验证 发现综合产生的电路网表在布局布线后由于实际延迟引起的功能错误,2020/10/21,48,设计工具方法学,仿真方法学 功能仿真、逻辑仿真、开关级仿真、电路级仿真 综合方法学 综合考虑各种因素,自动生成硬件电路的方法 时序分析方法学 物理参数提取,静态时序分析方法,噪声分析 故障测试方法学 故障模型,可测试性分析,测试矢量生成

17、,测试电路自动插入 物理实现方法学 ASIC、FPGA,自动布局布线、工艺过程模拟,2020/10/21,49,硬件实现方法学,硬件算法实现方法 流水线结构、脉动结构、神经元 IP核复用 软核、固核、硬核 软硬件协同设计 系统软硬件划分之后,软硬件同时开发 CPU、BUS、FLASH、RAM标准构件 仿真模型、开发工具 SoC片上系统 有了上述的条件,,2020/10/21,50,传统的设计方法,原理图设计,逻辑图设计,2020/10/21,51,摩尔定律,芯片的集成度,每隔18个月就翻一番,2020/10/21,52,基于语言描述语言的设计流程,HDL,HDL仿真,通过?,HDL 综合,功能

18、仿真,布局布线,Tapeout,Netlist,通过?,通过?,仿真、静态分析,no,no,no,2020/10/21,53,芯片产品的关键属性,可靠性 产品的信誉度 可测性设计、Worst case、Best case考虑 性能 产品的生命力 面积、功耗 功能 产品的存在 关键技术的积累,2020/10/21,54,设计者经常面对的问题,功能的正确性 仿真验证、功能覆盖率、代码覆盖率、一致性检查 Timing的正确性 静态时序分析、后仿真 测试覆盖率 DFT 更合理、更巧妙 面积、功耗、速度,2020/10/21,55,思考,只有硬件的产品是功能单一的产品 必须要有软件才能实现复杂功能,电视

19、机 vs 计算机 电话机 vs 手机,2020/10/21,56,软件架构,RTOS,Diagnostics,Drivers HAL),MN (Call, CB, SS, SMS, GPRS),Layer 3,Layer 1,Layer 2,Multimedia Audio/Video,Call SMS CBS SS PB Game .,ATC,MIDI MP3 MPEG4 H.263 Engines Digital Camera,DAL(LCD,KPD,CHR) FS, AUDIO, VIDEO, Multimedia Engines,OSA,Other application,MMI Ke

20、rnal/GUI,其他应用,e.g. wap,java,MMS,etc.,2020/10/21,57,文件系统的基本框架图,其他线程,用户接口层,文件系统线程消息队列,文 件 系 统 管 理 层,虚拟设备层,物理设备层,用户接口层提供文件操作API,把相应的操作请求发送给文件系统管理层,完成阻塞或非阻塞调用,该层提供文件系统空间管理,存取控制,读写操作。 该层作为一个线程运行,处理IO请求,该层提供文件系统空间管理,存取控制,读写操作。 该层作为一个线程运行,处理IO请求,该层提供对实际的物理设备安全的读写、擦除等操作,2020/10/21,58,思考,芯片上的软硬件都齐全了,可以销售了吗?

21、用户如何开发自己的应用程序? 用户拿到芯片后如何使用,遇到问题如何解决?,周密细致的现场技术支持(FAE),EVB 板 公司软件开发和芯片功能测试环境 DVB 板 提供给用户的软件开发环境,2020/10/21,59,总之,展讯的产品中凝聚了 大量的财力、物力、人力 众多的智慧、心血、汗水 繁冗的工具、网络、平台 展讯产品是公司愿景、公司使命、公司价值观的具体体现,总之是公司文化的具体体现,2020/10/21,60,Determine requirements,Write specifications,Design synthesis and Verification,Fabrication

22、,Manufacturing test,Chips to customer,Customers need,Test development,VLSI 研发制造过程总和,2020/10/21,61,Verification vs. Test,验证设计的正确性 由仿真过程来运行 在制造之前只需运行一次 对设计质量负责,验证硬件制造过程的正确性 分为两个过程: 1. 测试产生:由EDA软件处理在设计过程中运行一次 2. 测试实施:向硬件施加电信号测试 要对每个制造出的器件施加测试过程 对器件的生产质量负责,2020/10/21,62,Costs of Testing,Design for testa

23、bility (DFT) Chip area overhead and yield reduction Performance overhead Software processes of test Test generation and fault simulation Test programming and debugging Manufacturing test Automatic test equipment (ATE) capital cost Test center operational cost,2020/10/21,63,工艺过程引起的失效 接触孔腐蚀不到位 寄生晶体管 氧

24、化层缺陷 . . . 材料引起的失效 Bulk defects (裂缝, 晶体不完整) 表面沾污 (离子迁移) . . . 随时间变化引起的失效 介质缺陷 电迁移 . . . 封装引起的失效 接触退化 密封泄露 . . .,芯片中的失效种类,2020/10/21,64,Single Stuck-at Fault,Three properties define a single stuck-at fault Only one line is faulty The faulty line is permanently set to 0 or 1 The fault can be at an input or output of a gate Example: XOR circuit has 12 fault sites ( ) and 24 single stuck-at faults,a,b,c,d,e,f,1,0,g,h,i,1,s-a-0,j,k,z,0(1),1(0),1,Test vector for h s-a-0 fault,Good circuit value,Faulty circuit value,2020/10/21,65,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 科普知识


经营许可证编号:宁ICP备18001539号-1