基于FPGA数字频率计的SOPC实现.doc

上传人:苏美尔 文档编号:7209079 上传时间:2020-11-06 格式:DOC 页数:27 大小:261.01KB
返回 下载 相关 举报
基于FPGA数字频率计的SOPC实现.doc_第1页
第1页 / 共27页
基于FPGA数字频率计的SOPC实现.doc_第2页
第2页 / 共27页
基于FPGA数字频率计的SOPC实现.doc_第3页
第3页 / 共27页
基于FPGA数字频率计的SOPC实现.doc_第4页
第4页 / 共27页
基于FPGA数字频率计的SOPC实现.doc_第5页
第5页 / 共27页
点击查看更多>>
资源描述

《基于FPGA数字频率计的SOPC实现.doc》由会员分享,可在线阅读,更多相关《基于FPGA数字频率计的SOPC实现.doc(27页珍藏版)》请在三一文库上搜索。

1、基于FPGA数字频率计的SOPC实现课程名称:现代电子技术综合实验姓名:指导老师: 学号:摘要本文介绍了一种数字频率计的SOPC实现方法。该设计采用MC8051软核作为SOPC系统的控制核心,使用硬件描述语言VHDL在开发平台ISE上搭建MC8051的外围电路,共同构建SOPC系统。在进行综合、布局布线、生成bit文件、配置实验电路板上的目标器件后,联合使用Keil C51进行软件部分的设计与在线仿真,最后在FPGA实验电路板上成功验证了设计的功能。经测量数据与真实数据比较,在10-5误差范围内,该频率计能测量频率在0.5Hz以上的信号。关键词:MC8051,SOPC,FPGA,数字频率计一、

2、数字频率计的实现方式及其误差1.1概述在电子技术领域,频率是一个最基本的参数,频率与其它许多参量的测量方案、测量结果都有十分密切的关系。因此,频率的测量非常重要。 在电子实验室中,测量频率的仪器是最重要的仪器之一。目前,绝大多数实验室使用计数式数字频率计测量频率。利用计数式数字频率计测量频率具有精度高、使用方便、测量迅速等一系列突出优点,故已成为近代频率测量的重要手段。目前频率测量主要有3种实现方法:(1)测频法。测频法是指在时基信号的几个周期内计算被测信号的个数,最后得出被测信号的频率。该测量在低频段的相对测量误差较大。(2)测周法。测周法是指在被测信号的几个周期内计算时基信号的个数,最后得

3、出被测信号的频率。这种方法在高频段相对误差较大,增大基准信号频率可以提高测量精度。(3)等精度法。等精度法是指在与被测信号同步的闸门时间内,分别计算被测信号和时基信号的个数,最后通过被测信号与时基信号的个数的相比,再乘以时基信号的频率算出被测信号频率。该方法可以在整个工作频段可以得到相同的相对精度,且测量范围更大、更精确。1.2 三种频率测量方法误差的简要分析 (1)测频法:测频法的公式为:f=N/TN为1次闸门时间内计数器计得被测信号的脉冲数,T为闸门时间的长度。由于N存在1误差,那么测频法的相对误差:1/N绝对误差:1/T即对于测频法,被测信号频率越高或者闸门时间越长,N值就越大,误差就越

4、小。闸门时间固定时,绝对误差固定。(2)测周法:测周法的公式为:f=F/NF为基准时钟的频率,N为被测信号单周期内计得基准时钟的脉冲数。由于N存在1的误差,测周法的相对误差:( -1/ (N+1), 1/ (N-1) )绝对误差:( -F/N(N+1), F/N(N-1) )即对于测周法,被测信号频率越低,基准时钟频率越高,N值越大,误差越小。(3)等精度法:等精度法的公式为:f=(N1/N2)*FN1 、N2分别为与被测信号同步的闸门时间内测得被测信号脉冲数、时基信号脉冲数,F为时基信号频率。由于闸门信号与被侧信号同步,N1无量化误差。N2存在1误差。相对误差:( -1/ (N2+1), 1

5、/ (N2-1) )绝对误差:( -N1F/N2(N2+1), N1F/N2(N2-1) )即时基信号频率越高,N2越大,那么误差就越小。特别当N1=1时,等精度法变为测周法。二、数字频率计的设计与实现2.1测量方式与实现方式的选择本次设计采用等精度法,由于等精度法设计到乘除运算,如果直接用数字电路搭建乘除电路,十分复杂,但MC8051进行乘除十分方便,而且编程容易。所以考虑采用SOPC的实现方式来设计频率计。2.2 硬件系统的设计2.2.1 实验所用开发板简介本次实验所用的电路为EEC FPGA核心板,板上包含一块TQ144封装的xc3s250e FPGA芯片,使用50MHz有源晶振作时钟输

6、入,含有4个按键,一个8位拨码开关,8位LED,8位数码管,提供外部时钟输入引脚,足够满足本次频率计的设计需要。图1给出了开发板上FPGA的引脚分配,可以得到引脚约束信息,以供开发时使用。图1 管脚分配2.2.2 硬件系统的整体框架由等精度测量频率的原理可知,频率测量功能的实现需要时钟管理模块、闸门电路、基准时钟、输入信号、两个计数器以及MC8051。模块之间的互联如图2所示。图2 数字电路框图基于上面给出的数字电路框图,各个模块的功能如下:1)DCM时钟管理模块Xilinx公司的FPGA中提供了一种特殊的时钟管理模块,可以对时钟进行倍频与分频。所用芯片上单个DCM能提供两路输出,分别用作MC

7、8051的时钟和测频电路的基准时钟。因为对单片机在线调试的需求,单片机的时钟固定为18MHz。由之前的理论分析,基准时钟频率更高时,量化误差导致的频率误差会降低,所以基准时钟使用50MHz,所以该模块的功能是对输入的晶振信号进行分频产生一个18MHz和50MHZ的方波信号。2)MC8051软核系统整体的处理、控制核心。通过感应反馈回的闸门信号判断何时进行数据的传输,在正确传输数据后并输出高电平的清零信号(clr)使32位计数器清零,在短暂的延时后,使clr变成低电平,以使32位计数器在下次闸门信号到来时做好计数准备。进行数据的乘除运算,判断频率的单位(Hz、KHz、MHz)并输出有效的低电平信

8、号使相应的指示灯点亮,分离频率各个位的数字(包括小数点的判断),然后通过先送片选后送段选实现数码管的动态显示。3)分频器通过对时钟管理器送来的50MHz方波信号进行分频得到一个0.25Hz的方波信号,并送入D触发器。4)闸门信号同步电路使用D触发器产生与被测信号同步的闸门信号,并分别送到两个32位计数器的使能端和MC8051单片机内部。在闸门高电平期间,计数器能正常计数。单片机能通过对闸门信号感应的做出正确的反馈。5)32位计数器在同步闸门信号的使能下,计算被测信号、时基信号的个数。6)64选8多路选择器通过单片输出的选择信号选择送哪8位数据。2.2.3 引脚分配以及下载将上述元件按照框图用t

9、op.vhd文件连接,分配引脚并将无用的管脚拉高后,进行综合、布局布线,生成对FPGA进行配置的bit文件,使用ISE里的iMPACT工具下载至开发板上的FPGA内即可。以上就是数字电路硬件部分的工作。2.3 软件系统的设计软件部分应该包括清零信号的控制、数据的处理、显示控制三部分。图3是本次设计程序部分的流程图。图3 程序流程图一、程序功能说明:1)在启动程序后,进行信号判断。若switchsignal=0&cal_flag=0,单片机输出多路选择器选择信号,将数据接收进来,将cal_flag、clr赋值为1,实现32位计数器的清零。在延时1ms后,将clr赋值为0,让32位计数器做好下次计

10、数准备。然后进行数据的计算,算出被测信号的频率,并且判断频率的单位,使相应的指示灯点亮。在得到频率后分离各个位的数字(同时判断小数点的位数)。最后转到显示程序。若switchsignal=1,将cal_flag赋值为0,直接转到显示程序。2)在显示程序中,先送位选码后送段选码,延时1ms,接着送下位数实现数码管的动态显示。在送完所以数后。回到信号判断处以实现程序的无限循环。二、MC8051 IP核中运行的软件应该实现的功能如下:1)闸门信号的接收与计数器clr信号的管理根据输入的同步闸门信号,处理好接收多路选择器的送数、32位计数器的清零、计数器计数前的再次准备三者的前后时序关系。2)数据计算

11、对采集来的数据进行处理。通过对两位数的乘除运算得到被测信号的频率,判断被测频率的单位并送出相应有效的LED指示灯点亮信号,分离各个位的数字,包括小数点位置的确定。3)溢出判断再计算出频率后,当频率大于100MHz时,判断溢出,并送出有效的低电平信号使overflow指示灯点亮。4)结果显示将计算的结果显示出来,分别包括MHz、KHz、Hz三种单位以及溢出的指示LED,以及在数码管上显示数字以及小数点的控制。通过位选码选位后段选码送显示,以动态显示的方法实现。程序编写好之后,通过Keil进行编译、生成可执行文件,通过在线仿真验证设计功能。三、数据分析3.1数据分析完成整体频率计的制作之后,使用信

12、号源进行测试,测试结果如表1所示实际频率/Hz0.51.052080100300测量频率/HZ0.499980.999984.9999819.999880.000099.9999300.000相对误差/10-5-4-2-0.4-10-10实际频率/KHz1.138906.2810016.420029.4260153.445712.360900测量频率/KHZ1.138886.2809416.419429.4252153.440712.340900.000相对误差/10-5-1.75608-0.9955262-3.65408-2.71868-3.2585-2.807570实际频率/MHz1.02

13、34.06.2531016.23025.62429.9999测量频率/MHZ1.022903.999986.2527610.000016.229425.623929.9990相对误差/10-5-9.77517-0.5-3.838160-3.6968-0.3902-3.00001表1 频率分析表由表1可知,测量数据的相对误差的量度都在10-5上,所以该设计方案满足要求,且测量精度很高,测量范围很广,具有很大的实用价值。3.2误差来源分析3.2.1石英振荡器带来的误差本次所用的石英振荡器输出的频率虽然是50MHz,但是这震荡频率也会有轻微的波动,在10-6误差范围内,所以也会为我们数据的测量带来一

14、定的误差。3.2.2 浮点型运算的舍入误差Keil编译器能编译float型变量,float型变量是以8为指数、23位尾数的形式存储。即其单次计算的相对误差为2-23=1.192*10-8,分析此次使用的C程序,共使用了三次浮点型计算(包含两次强制类型转换与一次除法),带来的相对误差应小于3.576*10-8。四、实验结论及总结从实测误差来看,相对误差大约为0.004%,同时在极低或极高频率上的相对误差规律不同,远超过了理论上由量化误差带来的频率误差和浮点运算的舍入误差的总和,推测主要误差是由石英晶振与测试用信号源频率的相对误差带来。经查阅资料,标定此次频率计使用的信号源的频率准确度为(50pp

15、m+1Hz),忽略1Hz的绝对误差后,相对误差50ppm,即0.005%,远大于以上两种误差带来的相对误差。信号源输出频率的误差已经与比测试数据误差范围更大,可以得出结论:测试数据符合理论误差的分析。本次实验设计了基于SOPC系统的数字频率计,并在实验板上实现。最终完成的频率计拥有0.5Hz30MHz的量程,并在整个量程之内都能获得10-5范围的误差。同时,受实验条件的限制,无法对其进行更加准确的标定,如果使用更加准确的仪器进行标定,可以继续使精度提高。通过此次频率计的设计实验,对频率的测量方法有了更深的认识。此外,还学习了VHDL硬件描述语言与51单片机C语言开发的相关知识。通过对于整体SO

16、PC系统的设计与对FPGA的编程实现,培养了一定的对于数字系统的整体设计的能力与思想。五、参考文献1. 姜立东. VHDL语言程序设计及应用 (第二版). 北京邮电出版社 (中).2. 蒋焕文,孙续. 电子测量(第二版). 中国计量出版社 (中).3. 郭天祥. 51单片机C语言教程. 电子工业出版社(中).4. Spartan-3 Generation User Guide (美).5.万福君,潘松峰,刘芳.MCS-51单片机原理、系统设计与应用.清华大学出版社(中).附录附录1:C51描述:#include /包含8051的SFR寄存器定义头文件#include /管脚申明/数据选择器的

17、位选控制端口接P00、P01、P02/计数器使能信号端接P03: switchsignal/三个指示单位的LED灯:1Hz:P04、1KHz:P05、1MHZ:P06、overflow:P07/数据选择器的输出口接P1/6个数码管的位选线接P20、P21、P22/计数器清零信号端接P27: clr/数码管的段选接P3/全局变量申明 sbit switchsignal = P03;sbit clr=P27;#define N 50000000/共阳极char num = 0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90; / 7-seg code

18、s char dot = 0xFF,0x7F; / point /控制数码管的段选和片选char buf =0,0,0,0,0,0;char dpn =0,0,0,0,0,0;char chose =0x1F,0x2F,0x37,0x3B,0x3D,0x3E;/sbit led=P04; /清零信号sbit no_in=P26;int cal_flag=0;/函数申明void disp();void datain();void Cal(unsigned long data1,unsigned long data2);void Divi(long freq,int Mul);void Delay

19、(unsigned int t)doTH0 = 0xFA;TL0 = 0x24;/设置定时器初值(对应0.001s)TR0 = 1;/启动定时器while ( !TF0 );/等待定时器溢出TR0 = 0;/停止定时器TF0 = 0;/清除溢出标志 while ( -t != 0 );/循环t次void main()TMOD &= 0x0F;TMOD |= 0x01;/将定时器T0设置为方式1,即16位定时器for (;) datain(); disp();void disp() unsigned char i; for (i=0;i6;i+) P3=numbufi&dotdpni; P2&=

20、0x80; P2|=chose5-i; Delay(1); void datain()unsigned long data1,data2;unsigned char i;if(no_in=0) for(i=0;i6;i+) dpni=0; bufi=0;else if(switchsignal=1) cal_flag=0; if(switchsignal=0&cal_flag=0) Delay(1);for (i=0;i4;i+) P0&=0xF8; P0|=(0x03-i); data1=8; data1+=P1; for (i=0;i4;i+) P0&=0xF8; P0|=(0x07-i)

21、; data2=8; data2+=P1; Cal(data1,data2);cal_flag=1;clr=1;Delay(1);clr=0; void Cal(unsigned long data1,unsigned long data2) float freq; int i; freq=(float)data1/data2; freq=freq*50000000; if(freq=1;i-) bufi=bufi-1;buf0=0;dpn0=1; else if(freq1000) P0&=0x0F;P0|=0xE0;freq=freq*10000000; Divi(unsigned lon

22、g)freq,7); else if(freq1000000) P0&=0x0F;P0|=0xD0; freq=freq*1000; Divi( unsigned long)freq,6); else if(freq=100000000) P0&=0x0F;P0|=0xB0; Divi(unsigned long)freq,6); else P0&=0x0F;P0|=0x70; void Divi(unsigned long freq,int Mul)int i=0;int temp20;int j;unsigned long lfreq=freq; for (j=0;j6;j+)dpnj=0

23、;while(lfreq!=0) tempi=lfreq%10; i+; lfreq=lfreq/10;if(i-Mul-1=0) dpni-Mul-1=1; for (j=0;j 0);beginprocess(clk,clr) beginif clr=1 thencounter 0);else if en=1 thenif rising_edge(clk)thencounter=counter+1;end if;end if;end if;end process;counter_out=counter;end Behavioral;附录3:分频器VHDL描述library IEEE;use

24、 IEEE.STD_LOGIC_1164.ALL;entity FreDiv isport(clk:in std_logic; -50MHz时基信号输入 clkout1:out std_logic);-产生2个信号输出周期4s、50MHzend FreDiv;architecture Behavioral of FreDiv issignal tmp: integer range 1 to 100000000:=1;signal a_var:std_logic:=0;beginprocess (clk)begin-分频器产生周期为3s的信号if rising_edge(clk) thenif

25、tmp = 100000000 thena_var= not a_var;tmp = 1;elsetmp = tmp + 1;end if;end if;end process;clkout1=a_var;-clkout2=clk;end Behavioral;附录4:D触发器VHDL描述library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity D_Trigger is Port ( D : in STD_LOGIC; clk : in STD_LOGIC; Q : out STD_LOGIC; no_in1:out std_logic);end D_Tr

26、igger;architecture Behavioral of D_Trigger issignal biaoshi: std_logic;begin process(clk) begin if rising_edge(clk)then Q=D;biaoshi=1;end if;end process;no_in1y_outy_outy_outy_outy_outy_outy_outy_outNULL;end case;end process;end Behavioral;附录6:MC8051VHDL描述library IEEE;use IEEE.STD_LOGIC_1164.ALL;ent

27、ity mc8051 is port ( clk : in std_logic; reset : in std_logic; addr_out_user : out STD_LOGIC_VECTOR (13 downto 0); data_in_user : in STD_LOGIC_VECTOR (7 downto 0); wr_user : out STD_LOGIC; int0_i : in std_logic; int1_i : in std_logic; all_t0_i : in std_logic; all_t1_i : in std_logic; all_rxd_i : in

28、std_logic_vector (0 downto 0); p0_i : in std_logic_vector (7 downto 0); p1_i : in std_logic_vector (7 downto 0); p2_i : in std_logic_vector (7 downto 0); p3_i : in std_logic_vector (7 downto 0); p0_o : out std_logic_vector (7 downto 0); p1_o : out std_logic_vector (7 downto 0); p2_o : out std_logic_

29、vector (7 downto 0); p3_o : out std_logic_vector (7 downto 0); all_txd_o : out std_logic_vector (0 downto 0); le : out std_logic_vector (1 downto 0); wr_rs : out std_logic_vector (1 downto 0); ramx_data_o : out std_logic_vector (7 downto 0); end mc8051;architecture Behavioral of mc8051 iscomponent m

30、c8051_core_onchip port ( clk : in std_logic; reset : in std_logic; addr_out_user : out STD_LOGIC_VECTOR (13 downto 0); data_in_user : in STD_LOGIC_VECTOR (7 downto 0); wr_user : out STD_LOGIC; int0_i : in std_logic; int1_i : in std_logic; all_t0_i : in std_logic; all_t1_i : in std_logic; all_rxd_i :

31、 in std_logic_vector (0 downto 0); p0_i : in std_logic_vector (7 downto 0); p1_i : in std_logic_vector (7 downto 0); p2_i : in std_logic_vector (7 downto 0); p3_i : in std_logic_vector (7 downto 0); p0_o : out std_logic_vector (7 downto 0); p1_o : out std_logic_vector (7 downto 0); p2_o : out std_lo

32、gic_vector (7 downto 0); p3_o : out std_logic_vector (7 downto 0); all_txd_o : out std_logic_vector (0 downto 0); le : out std_logic_vector (1 downto 0); wr_rs : out std_logic_vector (1 downto 0); ramx_data_o : out std_logic_vector (7 downto 0); end component;beginuu1 : mc8051_core_onchip port map (

33、 clk =clk, reset=reset, addr_out_user= addr_out_user, data_in_user= data_in_user, wr_user= wr_user, int0_i =int0_i, int1_i =int1_i, all_t0_i =all_t0_i, all_t1_i =all_t1_i, all_rxd_i(0) =all_rxd_i(0), p0_i(7 downto 0)=p0_i, p1_i(7 downto 0)=p1_i, p2_i(7 downto 0)=p2_i, p3_i(7 downto 0)=p3_i, p0_o(7 d

34、ownto 0)=p0_o, p1_o(7 downto 0)=p1_o, p2_o(7 downto 0)=p2_o, p3_o(7 downto 0)=p3_o, all_txd_o(0) =all_txd_o(0), le =le, wr_rs =wr_rs, ramx_data_o= ramx_data_o); end Behavioral;附录7:topVHDL描述library ieee;use ieee.std_logic_1164.ALL;entity top isport (reset:in std_logic;clkbasic: in std_logic;clkbeen:

35、in std_logic;segchose:out std_logic_vector(7 downto 0);bitchose:out std_logic_vector(5 downto 0);Led1Hz:out std_logic;Led1KHz:out std_logic;Led1MHz:out std_logic;Ledoverflow:out std_logic;gate:out std_logic;all_rxd_i : in std_logic_vector (0 downto 0); all_txd_o : out std_logic_vector (0 downto 0) -clr:out std_logic;-int0_i: in std_logic; -int1_i

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 科普知识


经营许可证编号:宁ICP备18001539号-1