数字逻辑复习题.doc

上传人:大张伟 文档编号:7236327 上传时间:2020-11-10 格式:DOC 页数:24 大小:5.39MB
返回 下载 相关 举报
数字逻辑复习题.doc_第1页
第1页 / 共24页
数字逻辑复习题.doc_第2页
第2页 / 共24页
数字逻辑复习题.doc_第3页
第3页 / 共24页
数字逻辑复习题.doc_第4页
第4页 / 共24页
数字逻辑复习题.doc_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《数字逻辑复习题.doc》由会员分享,可在线阅读,更多相关《数字逻辑复习题.doc(24页珍藏版)》请在三一文库上搜索。

1、第一部分不定项选择题(每小题分,错选、少选、多选都不得分)1可化简为( A )。A B C D2对于TTL与非门闲置输入端的处理,可以 。A.接电源 B.通过电阻3k接电源 C.接地 D.与有用输入端并联332路数据选择器,其地址输入端有(B )个A8个 B5个 C4个 D3个4以下各项中,与相邻的是( BD )A B C D 5Mealy型时序电路的输出( C )A仅决定于电路的现态B仅与当前外输入有关C既与现态也与外输入有关D与现态和外输入均无关6同步时序电路和异步时序电路比较,其差异在于后者( B )A没有稳定状态 B没有统一的时钟脉冲控制C没有触发器 D输出只与内部状态有关7以下电路中

2、常用于总线应用的有 。A.与非门 B.三态输出门 C.集电极开路门 D.漏极开路门8下列表达式中不存在竞争冒险的有 。 A.Y=AB+AB B.Y=+AB C.Y=AB+C D.Y=(A+)A9对于JK触发器,若J=K,则可完成 触发器的逻辑功能。A.D B.T C.T D.RS 10下列触发器中,没有约束条件的是 。A.基本RS触发器 B.主从RS触发器 C.同步RS触发器 D.边沿D触发器11.指出下列电路中能够把串行数据变成并行数据的电路是()A、JK触发器 B、3线-8线译码器C、十进制计数器 D、移位寄存器12.边沿式D触发器是一种 稳态电路。A.无 B.单 C.双 D.多13同步时

3、序电路和异步时序电路比较,其差异在于后者()A、没有稳定状态 B、没有统一的时钟脉冲控制C、没有触发器D、输出只与内部状态有关14用若干RAM实现位扩展时,其方法是将 相应地并联在一起。A.地址线 B.数据线 C.片选信号线 D.读/写线15Moore型时序电路的输出( )A、仅决定于电路的现态B、仅与当前外输入有关C、既与现态也与外输入有关D、与现态和外输入均无关16555定时器可以组成 。A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.JK触发器17555定时器构成的多谐振荡器可产生 。A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波19只读存储器ROM中的内容,当电源断掉后又接通

4、,存储器中的内容 。A.全部改变 B.全部为0 C.不可预料 D.保持不变20PROM的与阵列(地址译码器)是 。A. 全译码不可编程阵列 B.非全译码可编程阵列 C. 全译码可编程阵列 D.非全译码不可编程阵列21下列触发器,没有约束条件的是( D )A基本RS触发器B同步RS触发器 C主从RS触发器D边沿JK触发器22T触发器,当T=0时,触发器实现(D)功能A置1B置0C翻转D保持23对于T触发器,若原态Qn=0,欲使新态Qn+1=1,应使输入T= BD 。A0 B1 CQ D24对于JK触发器,若J=K,则可完成 C 触发器的逻辑功能。ARS BD CT DT25求一个逻辑函数F的对偶

5、式,将F中的 ACD 。A “”换成“+”,“+”换成“” B原变量换成反变量,反变量换成原变量C变量不变 D常数中“0”换成“1”,“1”换成“0” E常数不变26四个D触发器构成扭环形计数器,其计数长度为 B 。A4 B8 C16 D3227矩形脉冲信号的参数有 ABC 。A周期 B占空比 C脉宽 D扫描期28只可进行一次编程的可编程器件有 AC 。APAL BGAL CPROM DPLD29可重复进行编程的可编程器件有 BD 。APAL BGAL CPROM DISP-PLD30逻辑函数F= = A 。AB BA C D 31三极管作为开关使用时,要提高开关速度,可 ACD 。A降低饱和

6、深度 B增加饱和深度 C采用有源泄放回路 D采用抗饱和三极管32CMOS数字集成电路与TTL数字集成电路相比突出的优点是 ACD 。A微功耗 B高速度 C高抗干扰能力 D电源范围宽33逻辑表达式Y=AB可以用 CD 实现。A.正或门 B.正非门 C.正与门 D.负或门34一个16选一的数据选择器,其地址输入(选择控制输入)端有 C 个。A1 B2 C4 D1635下列关于使用三线-八线译码器74LS138和辅助门电路实现三变量的逻辑函数的说法,正确的是 AD 。A可以 B不可以 C只可以用或门 D 只可以用与门36用二进制异步计数器从0做加法,计到十进制数178,则最少需要 D 个触发器。A.

7、2 B.6 C.7 D.8 E.1037某电视机水平-垂直扫描发生器需要一个分频器将31500HZ的脉冲转换为60HZ的脉冲,欲构成此分频器至少需要 A 个触发器。A.10 B.60 C.525 D.3150038某移位寄存器的时钟脉冲频率为100KHZ,欲将存放在该寄存器中的数左移10位,完成该操作需要 C 时间。A.10S B.80S C.1000mS D.800ms39.若用JK触发器来实现特性方程为,则JK端的方程为 ABC 。A.J=AB,K= B.J=AB,K= C.J=,K=AB D.J=,K=AB40用555定时器组成施密特触发器,当输入控制端外接15V电压时,回差电压为 A

8、。A7.5V B5V C12V D10V41PROM的结构是 A 。A与阵列固定,不可编程 B与阵列、或阵列均不可编程C与阵列、或阵列均可编程 D与阵列可编程、或阵列固定42当用专用输出结构的PAL设计时序逻辑电路时,必须还要具备有 A 。A触发器 B晶体管 CMOS管 D电容加密 D可改写43GAL的输出电路是 AD 。AOLMC B固定的 C只可一次编程 D可重复编程44. 常用的BCD码有 CD 。A.奇偶校验码 B.格雷码 C.8421码 D.余三码45. 逻辑函数的表示方法中具有唯一性的是 AD 。A .真值表 B.表达式 C.逻辑图 D.卡诺图46逻辑表达式Y=AB可以用 CD 实

9、现。A.正逻辑或门 B.正逻辑非门 C.正逻辑与门 D.负逻辑或门47对于TTL与非门闲置输入端的处理,可以 ABD 。A.接电源 B.通过电阻3k接电源 C.接地 D.与有用输入端并联48要使TTL与非门工作在转折区,可使输入端对地外接电阻RI C 。A.RON B.ROFF C.ROFFRIRON D.ROFF49CMOS数字集成电路与TTL数字集成电路相比突出的优点是 ACD 。A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽50八路数据分配器,其地址输入端有 C 个。A.1 B.2 C.3 D.4 E.851组合逻辑电路消除竞争冒险的方法有 ABCD 。A.修改逻辑设计 B.在

10、输出端接入滤波电容C.后级加缓冲电路D.屏蔽输入信号的尖峰干扰52TTL电路在正逻辑系统中,以下各种输入中 ABC 相当于输入逻辑“1”。A.悬空 B.通过电阻2.7k接电源C.通过电阻2.7k接地 D.通过电阻510接地53对于TTL与非门闲置输入端的处理,可以 ABD 。A.接电源 B.通过电阻3k接电源 C.接地 D.与有用输入端并联54要使TTL与非门工作在转折区,可使输入端对地外接电阻RI C 。A.RON B.ROFF C.ROFFRIRON D.ROFF55以下电路中,加以适当辅助门电路, AB 适于实现单输出组合逻辑电路。A.二进制译码器 B.数据选择器 C.数值比较器 D.七

11、段显示译码器56求一个逻辑函数F的对偶式,可将F中的 BCE 。A.原变量换成反变量,反变量换成原变量 B.常数中“0”换成“1”,“1”换成“0”C.变量不变 D.常数不变 E .“”换成“+”,“+”换成“”57PROM和PAL的结构是 BD 。A. PROM与阵列、或阵列均不可编程 B. PAL的与阵列可编程C. PAL与阵列、或阵列均可编程 D. PROM的与阵列固定,不可编程58只可进行一次编程的可编程器件有 BD 。A.GAL B.PROM C.PLD D.PAL59555定时器可以组成 ACD 。A.多谐振荡器 B.JK触发器 C.施密特触发器 D.单稳态触发器60下列触发器中,

12、克服了空翻现象的有 ABD 。A.边沿D触发器 B.主从RS触发器 C.同步RS触发器 D.主从JK触发器61下列触发器中,没有约束条件的是 D 。A.基本RS触发器 B.主从RS触发器 C.同步RS触发器 D.边沿D触发器62描述触发器的逻辑功能的方法有 ABCD 。A.状态转换真值表 B.特性方程 C.状态转换图 D.状态转换卡诺图63为实现将JK触发器转换为D触发器,应使 A 。A.J=D,K= B. K=D,J= C.J=K=D D.J=K=64.边沿式D触发器是一种 C 稳态电路。A.无 B.单 C.双 D.多65用555定时器组成施密特触发器,电源电压10,当输入控制端CO经小电容

13、接地。回差电压为 A 。A.3.33V B.5V C.6.66V D.10V66随机存取存储器RAM中的内容,当电源断掉后又接通,存储器中的内容 C 。A.全部改变 B.全部为1 C.不确定 D.保持不变67可重复进行编程的可编程器件有 BD 。A.PAL B.GAL C.PROM D.ISP-PLD68全场可编程(与、或阵列皆可编程)的可编程逻辑器件有 D 。A.PAL B.GAL C.PROM D.PLA69三态门输出高阻状态时, ABD 是正确的说法。A.用电压表测量指针不动 B.相当于悬空 C.电压不高不低 D.测量电阻指针不动70CMOS数字集成电路与TTL数字集成电路相比突出的优点

14、是 ACD 。A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽11四选一数据选择器的数据输出Y与数据输入Xi和地址码Ai之间的逻辑表达式为Y= A 。A. B. C. D.71一个8选一数据选择器的数据输入端有 E 个。A.1 B.2 C.3 D.4 E.873N个触发器可以构成能寄存 B 位二进制数码的寄存器。 A.N-1 B.N C.N+1 D.2N74寻址容量为8K8的RAM需要 C 根地址线。A.8 B.12 C.13 D.16 E.16K75欲使JK触发器按Qn+1=n工作,可使JK触发器的输入端 A 。A.J=K=1 B.J=Q,K= C.J=,K=Q D.J=Q,K=1

15、E.J=1,K=Q76描述触发器的逻辑功能的方法有 ABCD 。A.状态转换真值表 B.特性方程 C.状态转换图 D.状态转换卡诺图77把一个五进制计数器与一个四进制计数器串联可得到 D 进制计数器。 A.4 B.5 C.9 D.2078.若用JK触发器来实现特性方程为,则JK端的方程为AB 。A.J=AB,K= B.J=AB,K= C.J=,K=AB D.J=,K=AB79、一个8位D/A转换器的分辨率是( C )A、B、C、D、80、同步时序电路和异步时序电路比较,其差异在于后者( B )A、没有稳定状态 B、没有统一的时钟脉冲控制 C、没有触发器 D、输出只与内部状态有关81TTL与非门

16、闲置不用的引脚可以 ABD 。A.悬空 B.接高电平 C.接低电平 D.和使用的引脚并在一起82、JK触发器Q端在CP作用下实现0到1的跳变,输入信号JK的应为( D )A、X1 B、0X C、00 D、1X83用四选一数据选择器实现函数Y=,应使 A 。A.D0=D2=0,D1=D3=1 B.D0=D2=1,D1=D3=0C.D0=D1=0,D2=D3=1 D.D0=D1=1,D2=D3=084用三线-八线译码器74LS138和辅助门电路实现逻辑函数Y=,应 C 。A.用与非门,Y= B.用与门,Y=C.用或门,Y= D.用或门,Y=86在何种输入情况下,“与非”运算的结果是逻辑。 BCD

17、A.全部输入是1B全部输入是0 C.任一输入是0 D.仅一输入是0 87、下列触发器,没有约束条件的是( D )A、基本RS触发器B、同步RS触发器 C、主从RS触发器D、边沿JK触发器88对于T触发器,若原态Qn=1,欲使新态Qn+1=1,应使输入T= AD 。A.0 B.1 C.Q D.89欲使JK触发器按Qn+1=n工作,可使JK触发器的输入端 ACDE 。A.J=K=1 B.J=Q,K= C.J=,K=Q D.J=Q,K=1 E.J=1,K=Q90、计算机键盘上有101个键,若用二进制代码进行编码,至少应为( B )位。A、6B、 7C、8D、5191、已知某触发器的时钟CP,异步置0

18、端为RD,异步置1端为SD,控制输入端Vi和输出Q的波形如下图所示,根据波形可判断这个触发器是( D )。A、 上升沿D触发器B、 下降沿D触发器C、 下降沿T触发器 D、上升沿T触发器92、 下面哪种不是施密特触发器的应用: ( A )A、 稳定频率脉冲输出 B、 波形变换 C、脉冲整形D、 脉冲鉴幅93、对电压、频率、电流等模拟量进行数字处理之前,必须将其进行( B )A、D/A转换B、A/D转换C、 直接输入D、随意94存储8位二进制信息要 B 个触发器。A.2 B.3 C.4 D.895对于T触发器,若原态Qn=1,欲使新态Qn+1=1,应使输入T= AD 。A.0 B.1 C.Q D

19、.96把一个五进制计数器与一个四进制计数器串联可得到 D 进制计数器。 A.4 B.5 C.9 D.2097下列逻辑电路中为时序逻辑电路的是 C 。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器98、欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用( C )。A、 编码器; B、 译码器; C、 多路选择器;D、 数值比较器;99石英晶体多谐振荡器的突出优点是 C 。A.速度高 B.电路简单 C.振荡频率稳定 D.输出波形边沿陡峭100101键盘的编码器输出 C 位二进制代码。A.2 B.6 C.7 D.8第二部分、填空题触发器有 2 个稳态,存储8位二

20、进制信息要 8 个触发器。在一个CP脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的 空翻 。8位移位寄存器,串行输入时经 8 个脉冲后,8位数码全部移入寄存器中。4集成芯片27C16是一种EPROM,容量是2K8,其地址引脚有 11 条。用其扩展为4K16,需 4 片27C16,扩展后数据线有 16 条。5门电路的输入、输出高电平赋值为 1 ,低电平值赋为 0 ,这种关系称为正逻辑关系。6对于一组变量,两最大项之和是 1 ,其全部最小项之和,值恒为_1_,所有最大项之积为 0 。7施密特触发器输入由低到高与由高到低变化的阈值电压不同,这种特性称为施密特触发器的_回差_特性。8在数字电路

21、中,把记忆输入CP脉冲个数的操作叫做_计数_。9GAL的输出电路是 OLMC 。10PLA的一个重要特点是与、或阵列 可 编程(可、不可)。11逻辑函数F=的最简与或式是 。逻辑函数F=A(B+C)+BC的对偶函数是 (A+B)(A+C)(B+C) 。12逻辑函数的表示方法中具有唯一性的是 真值表 、 卡诺图 。摩根定律又称为 反演定律 。13一个基本RS触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是 RS=0 。14PAL一般采用 熔丝 工艺,GAL采用 E2CMOS 工艺。15一个逻辑函数,如果有n个变量,则有 2n 个最大项,两个不同最大项之和为 1 。16. 逻辑代

22、数又称为 布尔 代数。逻辑函数常用的表示方法有 真值表 、逻辑电路图 、 函数表达式 三种。17触发器有两个互补的输出端Q、,定义触发器的1状态为 Q=1 ,0状态为 Q=0 ,可见触发器的状态指的是 Q 端的状态。18时序逻辑电路按照其触发器是否有统一的时钟控制分为 (15) 时序电路和 (16) 时序电路。19为了实现高的频率稳定度,常采用 石英晶体 振荡器;单稳态触发器受到外触发时进入 暂态 态。20. PAL最基本的特点是与矩阵可编程, 或矩阵固定。21时序逻辑电路的特点是,任何时刻电路的稳态输出,不仅和_输入_有关,而且还取决于电路的_当前状态_。22对于共阳接法的发光二极管数码显示

23、器,应采用低电平驱动的七段显示译码器。23Moore型时序电路的输出状态由 当前状态 决定。24存储器的 存储容量 和 存储时间 是反映系统性能的两个重要指标。25JK触发器的状态方程是 ,触发器的状态方程是。26门电路的扇出系数是指: 逻辑门电路驱动同类门的数目 。27 集电极开路 逻辑门电路称为OC门,多个OC门输出端并联到一起可实现(线)与功能。28各种可编程逻辑器件中,可编程逻辑阵列缩写为_ PLA _。可编程阵列逻辑缩写为_ PAL,由可编程的 与 逻辑阵列、固定的 或 逻辑阵列和输出电路三部分组成。29消除组合逻辑电路中险象的常用方法有:加选通脉冲 、 输出端加滤波电容、修改逻辑设

24、计。30TTL与非门电压传输特性曲线分为转折区、线性区、 饱和区、截止区。31. 某电视机水平-垂直扫描发生器需要一个分频器将31500HZ的脉冲转换为60HZ的脉冲,欲构成此分频器至少需要 10 个触发器。32. 欲将容量为2561的RAM扩展为10248,则需要控制各片选端的辅助译码器的输入端数为 2 。33(10110010.1011)2=( 262.54 )8=( B2.B )1634逻辑代数的三个重要规则是 代入规则 、 对偶规则 、 反演规则 。35集电极开路门的英文缩写为OC 门,工作时必须外加 电源 和负载。36半导体数码显示器的内部接法有两种形式:共阴 接法和共阳 接法37在

25、一个CP脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的 空翻 ,触发方式为 主从 式或边沿 式的触发器不会出现这种现象。38某电视机水平-垂直扫描发生器需要一个分频器将31500HZ的脉冲转换为60HZ的脉冲,欲构成此分频器至少需要 10 个触发器。40、一般,PMOS数字集成电路速度比NMOS数字集成电路 慢 。41、时序逻辑电路的特点是,任何时刻电路的稳态输出,不仅和_当时的输入信号_有关,而且还取决于电路的_原来的状态_。42、设4位D/A转换器的最大输出电压为30V,则输入数字量为1010时的输出模拟电压为_20V _。43数字电路按照是否有记忆功能通常可分为两类: 组合逻辑电

26、路 、 时序逻辑电路 。45用555定时器组成施密特触发器,当输入控制端VM外接6V电压时,回差电压为 3V 。46由555定时器组成单稳态触发器,当在充放电电容处再并联一个电容时,暂态时间会 增加 。(增加、减少)三、判断题(正确打,错误的打)1若两个函数具有相同的真值表,则两个逻辑函数必然相等。( )。2因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。( )3若两个函数具有不同的真值表,则两个逻辑函数必然不相等。( )4逻辑函数两次求反则还原,逻辑函数的对偶式再作对偶变换也还原为它本身。( )5TTL集电极开路门输出为时由外接电源和电阻提供输出电流。( )6一般TTL门电路的输出

27、端可以直接相连,实现线与。( )7.共阴接法发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( )8.用数据选择器可实现时序逻辑电路。( )9集成组合电路有比较器,是用于比较逻辑值的大小。( )11CMOS门电路的输出端可以直接相连,实现线与。( )12二进制译码器相当于是一个最小项发生器,便于实现组合逻辑电路。( )13PAL的输出电路是固定的,不可编程,所以它的型号很多。( )14异或函数与同或函数在逻辑上互为反函数。( )。15若两个函数具有相同的真值表,则两个逻辑函数必然相等。( )。16八进制数(15)8比十进制数(15)10小。( )17当传送十进制数5时,在84

28、21奇校验码的校验位上值应为1。( )18在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。( )19占空比的公式为:q = t w / T,则周期T越大占空比q越小。( )20 逻辑变量的取值,比大。( )。21CMOS或非门与TTL或非门的逻辑功能完全相同。( )22三态门的三种状态分别为:高电平、低电平、不高不低的电压。( )23. 二进制译码器相当于是一个最小项发生器,便于实现组合逻辑电路。( 24若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。( )25逻辑函数两次求反则还原,逻辑函数的对偶式再作对偶变换也还原为它本身。( )26当传送十进制数5时,在8421

29、奇校验码的校验位上值应为1。( )27TTL与非门的多余输入端可以接地。( )28普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。( )29同或函数的反函数是异或。( )。30边沿触发器与主从触发器一样,具有空翻现象。( )31边沿JK触发器输出由1变为0,其对J、K的要求必须分别是1、1。( )32数字电路的输出状态都可表示为0或1。( )33计数器的模是指对输入的计数脉冲的个数。( )34优先编码器的编码信号是相互排斥的,不允许多个编码信号同时有效。( )35用数据选择器可实现时序逻辑电路。( )36异步计数器一般结构比同步计数器简单,但速度比同步计数器慢。( )37只要是组

30、合电路,就肯定有竞争-冒险现象。( )38把一个6进制计数器与一个10进制计数器串联可得到60进制计数器。( )39PROM不仅可以读,也可以写(编程),则它的功能与RAM相同。( )40可以使用ROM实现组合逻辑函数功能。( )41、JK触发器的输入端J 悬空,则相当于J = 0。( )42、时序电路的输出状态仅与此刻输入变量有关。( )43、RS触发器的输出状态Q N+1与原输出状态Q N无关。( )44、JK触发器的J=K=1 变成T 触发器。( )45、各种功能触发器之间可以相互转换。( )46、优先编码只对优先级别高的信息进行编码。( )47若两个函数具有相同的真值表,则两个逻辑函数

31、必然相等。( )。48普通的逻辑门电路的输出端可以并联在一起,形成与的功能。( )49组合电路不含有记忆功能的器件。( )50为实现将JK触发器转换为D触发器,应使J=D,K=。( )51对边沿JK触发器,在CP为高电平期间,当J=K=1时,状态会翻转一次。( )52异步时序电路的各级触发器类型不同。( )53若两个函数具有不同的真值表,则两个逻辑函数必然不相等。( )54A+AB+ACD可化简为A。( )55三态门的三种状态分别为:0、1、2。( )56TTL集电极开路门输出为时由外接电源和电阻提供输出电流。( )57数据选择器和数据分配器的功能正好相反,互为逆过程。( )58用数据选择器可

32、实现时序逻辑电路。( )59同步触发器存在空翻现象,而边沿触发器和主从触发器克服了空翻。( )60计数器的模是指对输入的计数脉冲的个数。( )61动态随机存取存储器需要不断地刷新,以防止电容上存储的信息丢失。( )62用2片容量为16K8的RAM构成容量为32K8的RAM是位扩展。( )63PROM不仅可以读,也可以写(编程),则它的功能与RAM相同。( )64所有的半导体存储器在运行时都具有读和写的功能。( )65普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。( )66异步时序电路的各级触发器类型不同。( )67.对边沿JK触发器,在CP为高电平期间,当J=K=1时,状态会翻

33、转一次。( )68D触发器的特征方程Qn+1=D,而与Qn无关,所以,D触发器不是时序电路。( )69CMOS或非门与TTL或非门的逻辑功能完全相同。( )70一般TTL门电路的输出端可以直接相连,实现线与。( )71编码与译码是互逆的过程。( )72LED显示器可以在完全黑暗的工作环境中使用。( )73、移位寄存具有串并行转换的功能。( )74、异步计数器一般结构比同步计数器简单,但速度比同步计数器慢。( )75、施密特触发器比非门具有更强的抗干扰性。( )76、主从触发器具有一次翻转现象而有时使其逻辑功能错误。( )77施密特触发器的正向阈值电压一定大于负向阈值电压。( )78555定时器

34、可以组成多谐振荡器、单稳态触发器和译码器。( )79逻辑函数两次求反则还原,逻辑函数的对偶式再作对偶变换也还原为它本身。( )80TTL与非门的多余输入端可以接固定高电平。( )第四部分化简将下列函数化简为最简“与或”表达式。1解:2解:3.解:F=4.解:F=5.解:F=第五部分分析、设计综合题一、分析下图,画出下图所示电路的状态图。能否自启动?解:(1)驱动方程 (2)状态方程与输出方程Y=Q2Q3(3)状态表:0 0 00 0 10 0 10 1 0 0 1 00 1 10 1 11 0 01 0 01 0 1 1 0 11 1 01 1 0 0 0 0 1 1 10 0 0 (4)状态

35、转换图(5)结论:该时序逻辑电路能自启动,是一个七进制计数器二、试用上升沿触发的JK触发器设计一个同步加法计数器,其状态图如下图所示:011011解:1状态表:0 00 110 1 0111 1012、状态方程: (1) (2) 3、驱动方程: (1) (2) 4、图三、试用上升沿触发的JK触发器设计一个同步加法计数器,其状态图如下图所示:001010011100101110解:1状态表:Q0 0 00 0 1010 0 1 00110 1 11001 0 01011 0 11101 1 0 001 1 1 1 2、状态方程:(1) (2) (3) 3、驱动方程:(1)(2) (3) 、图四、

36、设计举重判决电路,比赛规则:裁判A、B、C、D四人,举重结果,A为主裁具有一票否决权,即当为无效时,此次结果无效;当A判定为有效时,决定于B、C、D判定结果的少数服从多数,即三人中再有二人认为有效时,结果有效。设计一个判断电路(用与非门)实现以上逻辑。解:(1.)输入输出逻辑定义:A、B、C、D分别代表裁判A、B、C、D;F为裁判结果。(2)根据题意列出逻辑状态表。(3.)列逻辑表达式并化简(4.)根据逻辑表达式画出逻辑图。五、下图中的集成芯片是8选1数据选择器,A2、A1、A0为地址输入端,当如A2、A1、A0分别是011是,则F=D3。请写出G1、G0的4种取值下的F表达式。解:F=六、分

37、析下图,并读出其存储的信息。 解:这是一个4*4ROM,存储信息如下表:D3D2D1D0000101011011100100111110七、写出下图的Z与ABC的关系表达式。八、如下图同步RS锁存器,写出Q 的表达式,画出输出波形Q。(Q初始值为0)解:(1) RS= 0(约束条件)(2)九、2线-4线译码器的功能表和逻辑功能示意图如下。试以该译码器为核心,另加一个或门表示Y=A(。请画出电路图。输 入输 出S1S2A1A0Y0Y1Y2Y3000001000010001000100101001010001010110001解:电路图如下:十、74LS153为双4选1数据选择器,如图,列出Z与A

38、、B、C的真值表,并写出Z与A、B、C的关系式。CBA解:(1)真值表A BCZ0 0 0 10 0100 1000 1 111 0 0 01 0111 1011 1 11(2)表达式:十一、下图为基本RS锁存器,写出其特征方程,并画出对应的波形。解:(1)(2)十二、 画出下图的Q的波形解:十三、下图所示为4选1数据选择器,其中A1A0为选择输入端(地址输入端,A1为高位),D0、D1、D2、D3为数据输入端,试写出图所示电路的Y1与Y2的表达式。解:十四、简述半导体只读存储器的类别及它们的主要特点。解:(1) 固定掩膜ROM:厂家生产后即不能更改;(2) PROM:可编程ROM,一次熔丝型,一次编程后不能更改;(3) EPROM:可擦除可编程ROM,紫外线擦除,编程后可用紫外线擦除后再进行修改;(4) EEPROM:电可擦除可编程ROM,加一定电压擦除,编程后可用电擦除后再进行编程修改;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 科普知识


经营许可证编号:宁ICP备18001539号-1