[转]DFT概论.doc

上传人:yyf 文档编号:8633986 上传时间:2020-12-08 格式:DOC 页数:18 大小:31KB
返回 下载 相关 举报
[转]DFT概论.doc_第1页
第1页 / 共18页
[转]DFT概论.doc_第2页
第2页 / 共18页
[转]DFT概论.doc_第3页
第3页 / 共18页
[转]DFT概论.doc_第4页
第4页 / 共18页
[转]DFT概论.doc_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《[转]DFT概论.doc》由会员分享,可在线阅读,更多相关《[转]DFT概论.doc(18页珍藏版)》请在三一文库上搜索。

1、.转DFT概论 标签:无标签转DFT概论版权声明:转载时请以超链接形式标明文章原始出处和作者信息及本声明http:/ dft的三种的故障模型。1.固定故障。也称电压故障(stuck fault)。即晶体管的一端固定的不期望的链接到VDD或者VSS,从而导致布尔错误。2.电流故障。current fault。这些故障容易导致高的漏电流,不会导致布尔错误,但是会造成器件的过早失效甚至有源短路。3.延迟故障。包括跳变延迟故障和路径延迟故障。扫描链工作过程。分为四步:1.串行输入2.并行测量3.平行捕获4.串行输出 DFT Compiler添加扫描链过程:1.test configure2.scan

2、configure3.dft configure4.specify5.preview6.synthize开始test configure。test configure主要用来配置一些测试相关的时钟,输入延迟,双向延迟,测试选通时间。 上图配置tcl中如下设置:set test_default_period 100set test_default_delay 50set test_default_bidir_delay 55set test_default_strob 90二,scan configure。配置扫描链的一些属性。命令和参数如下:解释一些常用的set_scan_configurat

3、ion-add_lockup true | false-chain_count integer| -max_length integer_or_default| -exact_length integer_or_default| -count_per_domain integer_or_default-clock_mixing no_mix | mix_edges | mix_clocks | mix_clocks_not_edges-create_dedicated_scan_out_ports true | false-internal_clocks single | none | mul

4、ti-insert_terminal_lockup true | false-lockup_type latch | flip_flop-mix_internal_clock_driver true | false-partition vertical | horizontal-style multiplexed_flip_flop | clocked_scan | lssd | aux_clock_lssd | combinational | none-exclude_elements exclude_list-voltage_mixing true | false-power_domain

5、_mixing true | false-test_mode mode_name-domain_based_scan_enable true | false-pipeline_scan_enable true | false-pipeline_fanout_limit integer_or_default-reuse_mv_cells control_reuse_of_existing_MV_isolation_and_level-shifter_cells-create_test_clocks_by_system_clock_domain create_test_clock_from_sys

6、tem_clock-replace replace-hierarchical_isolation hierarchical_isolation -shared_scan_in number_of_shared_pin_count-preserve_multibit_segment multibit_segment-begin_and_end_with_rising_edge chain_terminals_optimization1. set_scan_config -style multiplexed_flip_flop 设置扫描的链中的触发器类型,一般选择多路复用触发器。低功耗设计中使用门

7、控扫描触发器。2. set_scan_config -clock_mixing no_mixdc默认的是每个时钟域产生一个扫描链。即当你声明好一个scan_path(from si1 tosi2),dc会将同一个时钟域的同类型触发器链接到si1到si2上,如果类型不同,还会自动产生一条扫描链。no_mix意思是扫描链上的触发器必须是同类型的:同时钟,同边沿。如下图:三个时钟域,想产生2条扫描链,使用no_mix配置。但是dc会生成3三条扫描链。 同样mix_edges表示一个扫描链上只允许是同一个时钟的触发器,包括上升沿出发和下降沿触发的。 而mix_clocks表示扫描连上允许不同时钟,不同

8、边沿驱动的触发器。 一般选用mix_clocks,扫描链容易达到平衡。set_scan_config -preserve_multibit_segment 使用指定由create_multibit -name x xx产生的multibit的链接方式。false,表示multibit是分散的。true表示同步化的。set_scan_config -exclude_elements 扫描链中不包含指定单元。同样也可以使用set_san_element false xxx指定某个单元不进行扫描链插入。set_scan_config -create_dedicated_scan_out_ports

9、是否根据声明的ScanDataOut产生扫描链set_scan_config -add_lockup 是否添加lockupcell。同一个扫描链中可能有不同功能时钟驱动的触发器,如上面clock_mixing选择mix_clocks,那么相邻2个scanff需要加入lockup cell。如下图:如果没有加lockupcell同时clk1和clk2的波形如后面一个,那么就有可能导致后面一个触发器捕获不到数据。加入了lockupcell无论哪种情况都可以捕获数据 set_scan_config -insert_terminal_lockup true | false是否在扫描链结尾插入locku

10、p cellset_scan_config -lockup_type latch | flip_flop lockup cell类型,一般选择latch,terminal lockup可以选flip flopset_scan_config -inter_clcok multi 指导工具将选择时钟或者多驱动时钟和顶层时钟分离开来。一般用于将clock_mixing设置mix_clocks的时候。因为当使用mix_clocks的时候,相邻2个scan ff的功能时钟是不一样的,如果后一个是选择时钟,或者多驱动时钟。那么在同一个test clock下会造成hold violation。如下图: 使用

11、mix_clocks类型的扫描链,会产生下面的扫描链:由于reg2的test clock经过了一个选择器,会造成hold violation。 如果设置了add_lockup true2个scan ff之间会加入lockup,则不会产生时序问题。 如果设置inter_clock 为multi则会将2个scan ff放到2个扫描链中。也不会产生时序问题。 版权声明:转载时请以超链接形式标明文章原始出处和作者信息及本声明http:/ dft概论2set_dft_configuration-scan enable | disable-fix_clock enable | disable-fix_se

12、t enable | disable-fix_reset enable | disable-fix_xpropagation enable | disable-fix_bus enable | disable-fix_bidirectional enable | disable-control_points enable | disable-observe_points enable | disable-logicbist enable | disable-wrapper enable | disable-boundary enable | disable-bsd enable | disab

13、le-clock_controller enable | disable-mode_decoding_style binary | one_hot-scan_compression enable | disable-pipeline_scan_data enable | disable-connect_clock_gating enable | disable-integration enable | disabledft配置-scan enable | disable是否允许scan。默认使能,不需配置-fix_clock enable | disable是否允许自动修正clock-fix_

14、set enable | disable是否允许修正set-fix_reset enable | disable是否修正复位reset-fix_xpropagation enable | disable是否修正不定态x-fix_bus enable | disable是否修正总线。总线分内部总线和外部总线。-fix_bidirectional enable | disable是否修正双向-control_points enable | disable是否允许插入控制点-observe_points enable | disable是否允许插观测点.-wrapper enable | disab

15、le是否使用影子scan-scan_compression enable | disable是否使用压缩set_dft_insertion_configuration-map_effort low | medium | high 扫描链优化级别 默认 low-synthesis_optimization none | all 是否进行综合优化 一般 none-preserve_design_name true | false 保持设计名称 一般 trueset_dft_signal-view existing_dft | spec-test_mode mode_name 扫描类型,全扫描,部分

16、扫描-type signal_type 信号类型:ScanDataIn,ScanDataOut,Reset,Set,Constant,ScanClock,TestData-port port_list 信号端口-active_state active_state 信号什么状态有效-timing timing 信号的时序-period period ScanClock周期-hookup_pin hookup_pin 信号端口关联的pinset_scan_pathscan_chain_name-ordered_elements ordered_list 在扫描链上按顺序链接ordered_list

17、单元-include_elements include_list 在扫描链上包含include_list单元-dedicated_scan_out true | false 是否使用指定的扫描链输出口-complete true | false 扫描链是否完成的-exact_length length 扫描链长度-insert_terminal_lockup true | false 是否在扫描链结尾插入lockup cell-scan_master_clock clock_name 扫描链的主时钟-scan_slave_clock clock_name 扫描链的从时钟-scan_enable

18、 port_name 扫描链的使能信号-scan_data_in port_name 扫描链输入-scan_data_out port_name 扫描链输出set_autofix_configuration-type clock | set | reset | xpropagation | internal_bus |external_bus | bidirectional 自动修正类型:时钟,复位,预置,不定态,内部总线,外部总线,双向-test_data test_data_name 用来修正的信号-method mux | gate | enable_one | disable_all | input | output | no_disabling-fix_data enable | disable 修正时钟做数据线-fix_latch enable | disable-include_elements list_of_design_objects 修正包括那些单元,也可以使用set_autofix_element-exclude_elements list_of_design_objects 修正中不能包括哪些单元-control_signal control_name 修正时使用的控制信号精品

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 科普知识


经营许可证编号:宁ICP备18001539号-1