多路彩灯控制器.doc

上传人:苏美尔 文档编号:9111909 上传时间:2021-02-03 格式:DOC 页数:29 大小:4.82MB
返回 下载 相关 举报
多路彩灯控制器.doc_第1页
第1页 / 共29页
多路彩灯控制器.doc_第2页
第2页 / 共29页
多路彩灯控制器.doc_第3页
第3页 / 共29页
多路彩灯控制器.doc_第4页
第4页 / 共29页
多路彩灯控制器.doc_第5页
第5页 / 共29页
点击查看更多>>
资源描述

《多路彩灯控制器.doc》由会员分享,可在线阅读,更多相关《多路彩灯控制器.doc(29页珍藏版)》请在三一文库上搜索。

1、目录前 言-5第1章 方案论证-61.1 方案一-61.2 方案二-61.3 最佳方案选择-7第2章 模块设计及其功能-82.1模块功能描述-82.2时序控制模块-82.3显示控制模块-10第3章 十六路彩灯控制器的实现-143.1 整体功能描述-143.2 设计原理-143.3 程序编译与仿真-163.4 程序下载-183.5 硬件测试-203.6 本章小结-20第4章 结论-21第5章 总结-22致谢-23参考文献-24附录-25通信工程专业课程设计任务书院(系) 电 信 工 程 系 专业班级 通 信 工 程 专 业 xx班 学生姓名 x x 一、计算机综合课程设计题目 多 路 彩 灯 控

2、 制 器 的 设 计 二、计算机综合课程设计工作自xxxx年 x 月 x 日 起至 xxxx 年 x 月 x 日止三、计算机综合课程设计进行地点: 电 信 工 程 系 实 验 室 四、计算机综合课程设计的内容要求: 熟练掌握EDA技术利用计算机方面的课程解决专业课程方面的具体问题,通过分析问题、设计调试、最终达到解决问题,完成课程设计任务,达到培养实践的目的。 设计一个彩灯控制器,使彩灯(LED管)能连续发出6种以上不同的显示形式;具有6种花型循环变化。整个系统共有三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK_IN,系统清零信号CLR,彩灯节奏快慢选择开关CHOSE_KEY;共有16个输

3、出信号LED15.0,分别用于控制十六路彩灯。 要有多种花型变化。 多种花型可以自动变化,循环往复。 彩灯变化的快慢节拍可以选择。 具有清零开关。 指 导 教 师 x x 系(教 研 室) 通 信 工 程 教 研 室 接受任务开始执行日期 xxxx年x月x 日 学生签名 多路彩灯控制器的设计Xx(xxxx大学电信工程系通信xx班,xx省 xx市 邮编)指导教师:xx摘 要:当今时代科技发展日异月新,彩灯作为一种景观应用越来越多。在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段。它的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(P

4、LD)使得EDA技术的应用走向普及。本次设计是十六路彩灯控制器,现代生活中,彩灯已经成为必不可少的景观,本次设计本着与实际生活密切联系的原则,论述了使用VHDL设计十六路彩灯控制器的过程。VHDL为设计提供了更大的灵活性,使程序具有更高的通用性。同时也提高了设计的灵活性、可靠性和可扩展性,为大学生更好地认识社会提供了很好的机会。关 键 词: 电子设计自动化(EDA);VHDL; 彩灯控制器; Design of Multi-channel colored lantern controllerCheng Jie (Grade07,Class1,Major of Communication Eng

5、ineering,Dept. of E.I.of Shaanxi University of Technology, Han zhong 723003 China)Tutor:Wei Rui Abstract :The times on different scientific and technological development on new, lantern as an increasing number of landscape applications. In the field of electronic circuit design, electronic design au

6、tomation (EDA) tools have become primary design tool. Its development to the design of electronic systems has brought a revolutionary change, EDA software design tools, hardware description language, programmable logic devices (PLD) allows the application of EDA technologies to spread. This design i

7、s 16 Light Controllers, modern life has become an essential landscape lights, this design closely in line with the principles of real life, discusses the use of VHDL design 16 Road lantern controller process. VHDL as the design provides greater flexibility to process a higher versatility. Also impro

8、ve design flexibility, reliability and scalability for a better understanding of community college students a good opportunity.Key words:Electronic design automation (EDA); VHDL; lantern controller; 前 言随着科学技术的发展以及人民生活水平的提高,在现代生活中, 彩灯作为一种装饰既可以增强人们的感观,起到广告宣传的作用,又可以增添节日气氛,为人们的生活增添亮丽。随着电子技术的发展, 应用系统向着小型

9、化、快速化、大容量、重量轻的方向发展,EDA(Electronic Design Automatic) 技术的应用引起电子产品及系统开发的革命性变革。VHDL 语言作为可编程逻辑器件的标准语言描述能力强, 覆盖面广, 抽象能力强, 在实际应用中越来越广泛。在这个阶段,人们开始追求贯彻整个系统设计的自动化,可以从繁重的设计工作中彻底解脱出来,把精力集中在创造性的方案与概念构思上,从而可以提高设计效率,缩短产品的研制周期。作为一种工业标准硬件描述语言。相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下(Top to Down)和基于库(LibraryBased)的

10、设计的特点。因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。用VHDL 语言进行数字逻辑电路和数字系统的设计,是电子电路设计方法上的一次革命性变革。与传统设计方法相比,VHDL 描述电路行为的算法有很多优点: (1) 设计层次较高、用于较复杂的计算时,能尽早发现存在的问题,缩短设计周期;(2) 独立实现,修改方便,系统硬件描述能力强;(3)

11、可读性好,有利于交流,适合于文档保存;(4) VHDL 语言标准、规范、移植性强;(5) VHDL 类型众多而且支持用户自定义类型,支持自顶而下的设计方法和多种电路的设计。 第1章 方案论证1.1方案一我们用VHDL语言设计了一个十六路彩灯控制器,六种花型循环变化,有清零开关,并且可以选择快慢两种节拍。工作原理:整个系统共有三个输入信号CP,S和K,十六路输出信号。时钟信号CP由外部输入到节拍发生器,节拍选择信号S先输入到控制器再由控制器输出选择控制信号Y到节拍发生器,随时控制快慢节拍的转换。节拍发生器产生的节拍信号分别输出到控制器、编码电路和驱动电路。编码电路输出反馈信号给控制器,控制器输出

12、信号控制编码电路的各个子模块交替工作,产生六种花型,再由驱动电路将信号输出到彩灯。K为清零信号,由外部输到控制器K 0时,系统回到等待状态,彩灯全灭;K I时系统工作。 我们采取自顶向下的设计方法,将电路分为控制器和受控制器,各部分电路的作用如下:1受控电路包括节拍发生器 驱动电路和编码电路。节拍发生器:提供快、慢两种节拍。驱动电路:提供彩灯工作所需的电压及电流,隔离负载对编码电路的影响。编码电路:根据花型要求按节拍输出十六位状态编码信号以控制彩灯接规律亮灭。2控制器:为节拍发生器和编码电路提供控制信号,同步整个系统的工作控制器通过控制编码电路中各个模块的交替工作来实现各个花型的转换。1.2方

13、案二同样我们用VHDL语言设计了一个十六路彩灯控制器,六种花型循环变化,有清零开关,并且可以选择快慢两种节拍。本控制电路采用VHDL语言设计。运用自顶而下的设计思想,按功能逐层分割实现层次化设计。根据多路彩灯控制器的设计原理,将整个控制器分为两个部分,分别为时序控制模块和显示控制模块。时序控制模块实现的功能是产生1和的时钟信号。显示控制模块中实现的六种花型分别为:000100010001000110101010101010100011001100110011110110110110011010010100101001010100100100100100整个电路仅有时序控制和显示控制两个模块。1

14、.3最佳方案选择方案一总体由受控电路和控制器组成,而受控电路又包括节拍发生器,驱动电路和编码电路。它将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能,且原理相对简单。如此设计,其优点在于:设计思想比较简单,元件种类使用少,充分利用了各种元件,且因熟悉,比较易于组装电路;缺点则是:中间单元电路连线过多,容易出错,且可能出现线与关系;分析电路时不易弄清楚,不易于电路的修改。方案二由时序控制电路和显示控制电路组成,电路组成较方案一简单。且检查电路时易于分析修改,虽然原理相对复杂,但单元电路模块少,而且方案二程序编写简单易懂,并能够灵活地添加或删减程序实现多种花型的变化,

15、有很大的灵活性。因此,我们选择了方案二进行进一步的设计。第2章 模块设计及其功能本次设计分为两个子模块 ,即十六路彩灯时序控制模块和十六路彩灯显示控制模块。2.1模块功能描述时序控制模块的功能是产生输入脉冲的分频脉冲信号和分频脉冲信号,以此控制十六路彩灯的快慢节奏变化。显示控制模块的功能是使电路产生六种花型并且循环显示,以此实现本次课程设计要求实现的多路彩灯控制器的花型循环显示功能。模块的设计使得程序得以实现,对于程序的理解和对模块的设计紧密的联系起来利用EDA技术方便快捷的实现了设计。用VHDL进行设计,首先应该理解,VHDL语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门

16、级多个设计层次。应充分利用VHDL“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的,它使得我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。2.2时序控制模块 时序控制模块是本程序的 时钟信号选择模块,它的功能是产生输入脉冲的分频脉冲信号和分频脉冲信号,以此控制十六路彩灯的快慢节奏变化。时序控制模块在本电路中起着至关重要的作用,它以彩灯闪动快慢节奏的变化实现了多路彩灯绚丽多彩的花型节奏变化。时序控制电路是整个电路中一个分模块,它的设计对十六路彩灯控制器设计的顺利完成起着决定性的作用。以下是时序控制电路编译与仿真:1.时序控制模块文本输入截图:有以下程序:

17、IF CHOSE_KEY=1THENIF TEMP=011THENTEMP:=000;CLLK=NOT CLLK;ELSETEMP:=TEMP+1;END IF;ELSEIF TEMP=111THENTEMP:=000;CLLK=NOT CLLK;ELSETEMP:=TEMP+1;本段程序的作用是:当CHOSE_KEY=1时产生基准时钟频率的14的时钟信号,否则产生基准时钟频率的18的时钟信号。本段简短的程序很轻松地实现了时钟频率的选择与变换,要想改变彩灯节奏的快慢,只需利用CHOSE_KEY选择开关置1或置0即可轻松实现基准时钟频率的14的时钟信号和基准时钟频率的18的时钟信号的转换,简单并

18、且容易修改,为十六路彩灯控制器的设计提供了更大的灵活性。2.时序控制模块波形仿真截图:2.3显示控制模块显示控制模块是整个电路的显示控制模块。它的主要功能是使电路产生六种花型并且循环显示,以此实现本次课程设计要求实现的多路彩灯控制器的花型循环显示功能。显示控制模块应用VHDL语言设计了本次十六路彩灯的六种循环花型,显示控制电路是整个电路中另外一个分模块,它的出色设计直接决定着十六路彩灯控制器花型循环的花样和质量。以下是显示控制电路编译与仿真:1.时序控制模块文本输入截图:有部分程序如下:PROCESS(CLR,CLK)ISCONSTANT F1:STD_LOGIC_VECTOR(15 DOWN

19、TO 0):=0001000100010001;CONSTANT F2:STD_LOGIC_VECTOR(15 DOWNTO 0):=1010101010101010;CONSTANT F3:STD_LOGIC_VECTOR(15 DOWNTO 0):=0011001100110011;CONSTANT F4:STD_LOGIC_VECTOR(15 DOWNTO 0):=0100100100100100;CONSTANT F5:STD_LOGIC_VECTOR(15 DOWNTO 0):=1001010010100101;CONSTANT F6:STD_LOGIC_VECTOR(15 DOWN

20、TO 0):=1101101101100110;本段程序的作用是定义六种花型,此六种花型可根据个人的喜好灵活进行调节和改变,这在很大程度上增加了程序本身的实用性,又由于是软件程序设计,下载到硬件上后LED灯的排列和摆设更不受程序的影响,可随意插放。以下程序:IF CLR=1 THENCURRENT_STATEFLOWER=ZZZZZZZZZZZZZZZZ;CURRENT_STATEFLOWER=F1; CURRENT_STATEFLOWER=F2; CURRENT_STATEFLOWER=F3;CURRENT_STATEFLOWER=F4;CURRENT_STATEFLOWER=F5;CURR

21、ENT_STATEFLOWER=F6;CURRENT_STATE=S1;其作用是:如果CLR=1,则模块正常进行工作,并且以下六种花型000100010001000110101010101010100011001100110011110110110110011010010100101001010100100100100100实现顺序循环显示。如果想改变六种花型的循环顺序,只需修改部分状态即可,本程序有很大的灵活性。2.时序控制模块波形仿真截图:第3章十六路彩灯控制系统的实现3.1整体功能描述1,在时序控制电路SXKZ的设计中,利用计数器计数达到分频值时,对计数器进行清零,同时将输出信号反向,这

22、就非常简洁地实现了对输入基准信号的分频,并且分频信号的占空比为0.5。2,在显示控制电路XSKZ的设计中,利用状态机非常简洁地实现了六种花型的循环变换,同时利用六个十六位常数的设计,可非常方便地设置和修改六种花型。3,对于顶层程序的设计,若为模块较多的系统,最好使用文本的程序设计方式。但因本系统模块较少,既可使用文本的程序设计方式,也可以使用原理图的设计方式。彩灯显示控制电路是整个设计的核心 , 彩灯显示控制模块能进行彩灯的图案控制 ,它负责整个设计的输出效果即各种彩灯图案的样式变化。在电路中以 1 代表灯亮,以 0 代表灯灭,由 0,1按不同的规律组合代表不同的灯光图案,同时使其选择不同的频

23、率,从而实现多种图案多种频率的花样功能显示。该程序充分地说明了用 VHDL设计电路的简单易修改,即可通过适当地改变程序中输出变量来改变彩灯的花型。时序控制模块对灯闪的速度控制有两种速度:一是分频时钟脉冲 ,二是分频时钟脉冲。并且还可以通过改变的时钟输入信号来产生更多的频率。最后 ,当各个模块均完成上述操作之后 ,即可利用MAXPLUS2的原理图输入 ,调用各个元器件(底层文件) ,以原理图的形式形成最后的十六路彩灯显示系统(顶层文件) ,并且进行仿真。仿真通过 ,即可下载到指定的 CPLD芯片里面 ,并进行实际连线 ,进行最后的硬件测试。当然 ,可以将各个模块所生成的元件符号存放在元件库中 ,

24、用以被其它人或其它的设计所重复调用 ,以简化后面的设计。3.2设计原理用VHDL进行设计 ,首先应该了解 ,VHDL语言一种全方位硬件描述语言 ,包括系统行为级 ,寄存传输级和逻辑门级多个设计层次。应充分利用“自顶向下” 的设计优点以及层次化的设计概层次概念对于设计复杂的数字系统是非常有用它使得人们可以从简单的单元入手 ,逐渐构成庞大而复杂的系统 。根据系统设计要求可知,整个系统共有三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK_IN ,系统清零信号CLR ,彩灯节奏快慢选择开关CHOSE_KEY;共有十六个输出信号LED15.0,分别用于控制十六路彩灯。据此,我们可以将整个彩灯控制电路C

25、DKZQ分为两大部分:时序控制电路SXKZ和显示控制电路XSKZ。系统的工作原理如下:时序控制电路SXKZ根据输入信号CHOSE_KEY, CLR ,CLK_IN产生的符合一定要求的、供显示控制电路XSKZ使用的控制时钟信号,而显示控制电路XSKZ则根据时序控制电路SXKZ输入的控制时钟信号,输出六种花型循环变化的、控制十六路彩灯工作的控制信号,这些控制信号加上驱动电路一起控制彩灯工作。首先应进行系统模块的划分 ,规定每一模块的功能以及各个模块之间的接口。最终设计方案由一个十六路彩灯花样循环显示控制器和一个时序控制分模块组成。时序控制模块根据输入信号不同频率的选择不同的时钟信号输送到彩灯循环显

26、示控制器 ,从而达到控制彩灯闪烁速度的快慢 ,整个十六路彩灯控制系统设计的模块图如图所示。总体程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY CDKZQ ISPORT(CLK_IN:IN STD_LOGIC;CLR:IN STD_LOGIC;CHOSE_KEY:IN STD_LOGIC;LED:OUT STD_LOGIC_VECTOR(15 DOWNTO 0);END ENTITY CDKZQ;ARCHITECTURE ART OF CDKZQ ISCOMPONENT SXKZ ISPORT(CHOSE_KEY:IN STD_LOGIC

27、;CLK_IN:STD_LOGIC;CLR:IN STD_LOGIC;CLK:OUT STD_LOGIC);END COMPONENT SXKZ;COMPONENT XSKZ ISPORT(CLK:IN STD_LOGIC;CLR:IN STD_LOGIC;LED:OUT STD_LOGIC_VECTOR(15 DOWNTO 0);END COMPONENT XSKZ;SIGNAL S1:STD_LOGIC;BEGINU1:SXKZ PORT MAP(CHOSE_KEY,CLK_IN,CLR,S1);U2:XSKZ PORT MAP(S1,CLR,LED);3.3程序编译与仿真程序输入截图:对

28、于顶层程序的设计,若为模块较多的系统,最好使用文本的程序设计方式。但因本系统模块较少,既可使用文本的程序设计方式,也可以使用原理图的设计方式。以上为文本程序设计的截图。本段程序的作用是将时序控制电路模块和显示控制电路模块结合起来,实现十六路彩灯控制器的设计,又由于本次程序设计的分模块较少,因此,我们采用了文本设计和原理图设计两种方式。程序波形仿真截图:各模块VHDL程序经过编译优化后,选择合适的目标芯片进行综合、管脚配置。本电路选用可编程逻辑芯片EPM7064LI84-15由MAX+Plus II进行仿真,从仿真波形可以看出,此程序可以实现六种不同花样彩灯的相互变换,每种花样彩灯可以循环变化。

29、但是如果系统的固有频率很大,彩灯的闪烁速度非常快,看到的现象是每个花样的十六个彩灯同时被点亮,为了实现绚丽多彩的景象,必须要在程序中加一个分频进程。与其它硬件设计方法相比,用VHDL进行工程设计的优点是多方面的:具有很强的行为描述能力,支持大规模设计的分解和已有设计的再利用,可读性好,易于修改和发现错误,可以使用仿真器对VHDL源代码进行仿真允许设计者不依赖于器件,容易发现设计中出现的问题,以便及时处理。实现了设计与工艺无关,可移植性好,上市时间快,成本低,ASIC移植等优点。34 程序下载 管脚设定截图:若以上的仿真正确无误,则可以将设计编程下载到选定的目标器件中做进一步的硬件测试,以便最终

30、了解设计的正确性。3.5 硬件测试本电路选用可编程逻辑芯片EPM7064LI84-15由MAX+Plus II进行下载,从硬件测试结果可以看出,此程序可以实现六种不同花样彩灯的相互变换,每种花样彩灯可以循环变化。但是如果系统的固有频率很大,彩灯的闪烁速度非常快,看到的现象是每个花样的十六个彩灯同时被点亮,为了实现绚丽多彩的景象,必须要在程序中加一个分频进程。硬件测试实物图如下:3.6 本章小结下载与测试是本次课设最后也是最重要的因为前面程序的正确为下载和测试做好了准备并使得可以顺利的进行达到课设的预期效果和目的。在电路组装过程中,遇到的最大问题是:起初考虑不周全,管脚分布不够合理,出现了许多“

31、特长线”, 不但影响布线速度,而且也会给后来的调试带来不必要的麻烦。其次就是布线,因为要求不准交叉,且横平竖直,所以在保证连通的情况下,在布线上也下了不少工夫。布线和调试完成后,又遇到新的问题:彩灯演示时有时正常有时混乱。在排除其他可能的情况下,我们仔细检查了各端口的连接状况,发现清零端口在清零后悬空了。将其接至高电平后,问题最终得以解决。第4章 结 论千万不要删除行尾的分节符,此行不会被打印。“结论”以前的所有正文内容都要编写在此行之前。从硬件测试结果可以看出,此程序可以实现六种不同花样彩灯的相互变换,每种花样彩灯可以循环变化。但是如果系统的固有频率很大,彩灯的闪烁速度非常快,看到的现象是每

32、个花样的十六个彩灯同时被点亮。时序控制模块实现的功能是产生1和的时钟信号。显示控制模块中实现的六种花型分别为:000100010001000110101010101010100011001100110011110110110110011010010100101001010100100100100100这六种花型依次呈现,花型变化快慢由控制。并且只要不拨动清零开关,这六种花型将一直循环显示下去。 第5章 总 结课程设计刚开始,拿着选定的题目不知如何入手。毕竟课程设计不同于实验课,电路图和程序都要自己设计。静下心来,仔细分析题目,再加上指导老师的说明与提示和同组成员的帮助,心中才有了谱。将整个系统

33、根据不同的功能化分成模块,再分别进行设计,逐个攻破,最后再将其整合即可。用VHDL进行设计,首先应该理解,VHDL语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用VHDL“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的,它使得我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。通过使用EDA编程既方便有快捷的实现了程序本次设计的程序已经在硬件系统上得到了验证 ,实验表明 ,此设计方法能够满足多种不同花样彩灯的变化要求 ,并且该方法便于扩展不同变化模式的彩灯花样。但是试验中也出现了一些不熟练的操作问题和一些复杂程序

34、的不能完全理解都需要我在平时多学习,进一步的完善自己。在实习中经常会遇到一些自己可能暂时无法想明白的问题,请教同学或老师是很好的做法,节省时间也会从别人上上学到更多。在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从交流中获得不同的思路,其他人的设计一定有比你出色的地方,很好的借鉴,并在大家的商讨中选择最优方案最终一定会得到最好的设计方法。电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它不但能巩固我们已所学的电子技术的理论知识,而且能提高我们的电子电路的设计水平,还能加强我们综合分析问题和解决问题的能力,进一步培养我们的实验技能和

35、动手能力,启发我们的创新意识几创新思维。整个课程设计过程我都认真地完成了,对此,我总结了以下几点:第一,两人一组,既加强了我们的动手能力,又让我们学会了团结一致,共同合作才能研究出最好的方案。我们将理论联系实际,在交流中取得进步,从问题中提高自己。第二,本次课程设计加深了我对技术的进一步深入理解。熟悉了程序编写和原理图输入法的优缺点,为我以后更好地运用MAX+Plus II奠定了良好的基础。第三,通过这次课程设计,使我受益颇多。了解到课程实习设计是开端,连接是关键,测试是必须。既巩固了课堂上学到的理论知识,又掌握了常用集成电路芯片的使用。在此基础上学习了数字系统设计的基本思想和方法,学会了科学

36、地分析实际问题,通过查资料、分析资料及请教老师和同学等多种途径,独立解决问题。同时,也培养了我认真严谨的态度。致 谢 本次课程设计我付出了很多,三个星期虽然短暂,但每一模块的设计中都有我辛勤的汗水,我从中学到了很多东西。很感谢三周以来指导和教育我的老师,也非常感谢我们组的成员xx。感谢xx老师不厌其烦的指导,是老师耐心的教导才换回了我们这次课程设计的成功。老师的精心指导和严谨求实的态度,深深地鼓励着我。感谢老师对我们的教诲,老师一丝不苟的教学态度,将成为我生命中最宝贵的财富之一。最后,感谢我们组成员xx,感谢他对我的帮助和支持;感谢其他组成员为我提出的问题进行详细的解答。有了大家的帮助我才做出

37、此次的课程设计。在此向我的导师以及在课程设计过程中给予我很大帮助的老师、同学们致以最诚挚的谢意。参考文献 1 2 3 4附录管脚设定和元器件清单一、管脚设定Chose_key54 clr55 Clkin83 Led010 Led111 Led212Led3 31 Led415 Led516 Led617Led718 Led820Led921 led1022 led1130 Led1224 led1325 led1427Led1528二、元器件清单面包板一个 剪刀一个 镊子一个 钳子一个 导线若干 led发光二极管16个三、部分源程序:1.时序控制电路程序如下:LIBRARY IEEE;USE

38、IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SXKZ ISPORT(CHOSE_KEY:IN STD_LOGIC;CLK_IN:IN STD_LOGIC;CLR:IN STD_LOGIC;CLK:OUT STD_LOGIC);END ENTITY SXKZ;ARCHITECTURE ART OF SXKZ ISSIGNAL CLLK:STD_LOGIC;BEGINPROCESS(CLK_IN,CLR,CHOSE_KEY)ISVARIABLE TEMP:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINIF CLR=1THEN 当CLR=1时清零,否则正常工作CLLK=0;TEMP:=000;ELSIF RISING_EDGE(CLK_IN)THENIF CHOSE_KEY=1THENIF TEMP=011THENTEMP:=000;CLLK=NOT CLLK;ELSETEMP:=TEMP+1;END IF;当CHOSE_KEY=1时产生基准时钟频率的14的时钟信号,否则产生基准时钟频率的18的时钟信号ELSEIF TEMP=111THENTEMP:=000;CLLK=NOT CLLK;ELSETEMP:=TEMP+1;EN

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 科普知识


经营许可证编号:宁ICP备18001539号-1