西工大数电实验 彩灯控制电路.docx

上传人:啊飒飒 文档编号:9908434 上传时间:2021-04-03 格式:DOCX 页数:9 大小:288.57KB
返回 下载 相关 举报
西工大数电实验 彩灯控制电路.docx_第1页
第1页 / 共9页
西工大数电实验 彩灯控制电路.docx_第2页
第2页 / 共9页
西工大数电实验 彩灯控制电路.docx_第3页
第3页 / 共9页
西工大数电实验 彩灯控制电路.docx_第4页
第4页 / 共9页
西工大数电实验 彩灯控制电路.docx_第5页
第5页 / 共9页
点击查看更多>>
资源描述

《西工大数电实验 彩灯控制电路.docx》由会员分享,可在线阅读,更多相关《西工大数电实验 彩灯控制电路.docx(9页珍藏版)》请在三一文库上搜索。

1、实验四 彩灯控制电路一、实验目的: 1、熟悉Quartus II界面,掌握软件的基本操作 2、掌握使用硬件描述语言编辑的方式完成电路的设计与功能的仿真 3、完成实验要求电路二、实验设备:Quartus II 软件、DE0开发板三、实验原理: 1、Quartus II硬件描述语言设计 Quartus II中可以使用VHDL和Verilog HDL进行硬件的描述,然后生成相应的元件,在库文件中可以调用。 2、DE0开发板基本使用2.1 开关 开发板DE0提供了 10个拨动开关,分别以SW0到SW9标注。它们分别直接连接到Cyclone III FPGA芯片上。开关拨至上方对应的FPGA输入为高电平

2、(3.3V);当开关拨至下方对应的FPGA输入为低电平( 0V)。2.2 LED灯板上提供了 10个用户可控的发光二极管,分别以LDE0到LED9标注。它们分别连接到Cyclone III FPGA芯片上。当FPGA对应输出端口为高电平时,点亮相应的发光二极管。发光二极管的引脚分配如图显示。2.3 7段数码管 板上提供了4个7段共阳极数码管,分别以HEX0到HEX3标注。它们如下图所示方式连接到FPGA芯片上。当FPGA对应输出端口为低电平时,点亮数码管相应的段;当FPGA对应输出端口为高电平时,熄灭数码管相应的段。数码管的各段位置索引如下所示。4个7段共阳极数码管的各段引脚与FPGA引脚引脚

3、分配如下所示。三、实验内容:1、彩灯控制电路要求控制4个彩灯 ;2、两个控制信号:K1K0= 00 灯全灭 01 右移,循环显示 10 左移,循环显示 11 灯全亮3、在FPGA七段数码管上按1HZ的频率依次显示该组成员每人学号后四位四、实验结果1、源代码:library ieee;use ieee.std_logic_1164.all;entity ledcontrol isport(clk:in std_logic; keyin:in std_logic_vector(1 downto 0); ledout:out bit_vector(3 downto 0); ledseg:out bi

4、t_vector(0 to 6);end ledcontrol;architecture fwm of ledcontrol issignal tmpstate :bit_vector(3 downto 0):=0001;beginprocess(clk)variable counter :integer:=0;variable counter1:integer range 0 to 7:=0;variable counter2:integer:=0;beginif(clkevent and clk=1) thencounter:=counter+1;if(counter=24999999)

5、then -0.5s=50M/2-1=25000000-1=24999999;counter:=0;case keyin iswhen 00=tmpstateif(tmpstate=1111 or tmpstate=0000) then tmpstate=0001; elsetmpstateif(tmpstate=1111 or tmpstate=0000) thentmpstate=1000; elsetmpstatetmpstate=0000;end case;-tmpstateledsegledsegledsegledsegledsegledsegledsegledsegledseg0);end case;end if;ledout=tmpstate;end process;end fwm 2、对该代码进行编译:3、仿真得到的波形如下图所示:4、对实物引脚进行设定5、下载到DE0开发板上进行验证:验证结果与实验预期设计相符。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 科普知识


经营许可证编号:宁ICP备18001539号-1