毕业设计(论文)数字滤波器设计及MATLAB仿真.doc

上传人:土8路 文档编号:10026612 上传时间:2021-04-11 格式:DOC 页数:34 大小:2.14MB
返回 下载 相关 举报
毕业设计(论文)数字滤波器设计及MATLAB仿真.doc_第1页
第1页 / 共34页
毕业设计(论文)数字滤波器设计及MATLAB仿真.doc_第2页
第2页 / 共34页
毕业设计(论文)数字滤波器设计及MATLAB仿真.doc_第3页
第3页 / 共34页
毕业设计(论文)数字滤波器设计及MATLAB仿真.doc_第4页
第4页 / 共34页
毕业设计(论文)数字滤波器设计及MATLAB仿真.doc_第5页
第5页 / 共34页
点击查看更多>>
资源描述

《毕业设计(论文)数字滤波器设计及MATLAB仿真.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)数字滤波器设计及MATLAB仿真.doc(34页珍藏版)》请在三一文库上搜索。

1、 毕业论文(设计)毕业论文(设计) 题目题目:数字滤波器设计及:数字滤波器设计及 MATLABMATLAB 仿真仿真 学院:学院: 信息学院信息学院 专业:专业: 通信工程通信工程 姓名:姓名:XXXXXX 学号:学号: 指导老师:指导老师: 20102010 年年 5 5 月月 2222 日日 目 录 摘摘 要要.1 第第 1 章章绪绪 论论.2 1.1 数字滤波器的研究背景与意义.2 1.2 数字滤波器的应用现状与发展趋势.3 1.3 本文主要内容和章节简介.4 第第 2 章章数字滤波器的概述数字滤波器的概述.5 2.1 数字滤波器的基本结构.5 2.1.1IIR 滤波器的基本结构.5 2

2、.1.2FIR 滤波器的基本结构.7 2.2 数字滤波器的设计原理.8 2.2.1滤波器的性能指标 .8 2.2.2IIR 数字滤波器的设计方法.9 2.2.3FIR 数字滤波器的设计方法.10 2.3 IIR 滤波器与 FIR 滤波器的分析比较 .12 2.4 本章小节.13 第第 3 章章数字滤波器的算法设计及数字滤波器的算法设计及 MATLAB 仿真仿真 .14 3.1 由模拟滤波器设计 IIR 数字滤波器.14 3.1.1巴特奥兹滤波器 .14 3.1.2切比雪夫滤波器 .15 3.1.3椭圆滤波器 .17 3.2 用MATLAB设计数字滤波器 .18 3.2.1FDATool 界面.

3、18 3.2.2用 Fdatool 进行带通滤波器设计 .20 3.3 将系统函数由直接型化成级联型.22 3.3.1二阶节系数的确定 .22 3.3.2系数转换成二进制码 .23 3.4 本章小结.25 第第 4 章章总结总结.26 4.1 滤波器功能和性能总结.26 4.2 设计心得和体会.26 第第 5 章章结束语结束语.27 参考文献参考文献.28 附附 录录.29 摘 要 本文分析了国内外数字滤波技术的应用现状与发展趋势,介绍了数字滤波器的基本结 构,在分别讨论了 IIR 与 FIR 数字滤波器的设计方法的基础上,指出了传统的数字滤波器 设计方法过程复杂、计算工作量大、滤波特性调整困

4、难的不足,提出了一种基于 Matlab 软件的数字滤波器设计方法,完成了高 Q 值 50Hz 带通 IIR 滤波器的设计, 达到了通带 45-55Hz,衰减小于 3db,阻带 40-60Hz,衰减大于 80db 的参数指标。文中深入分析了该 滤波器系统设计的功能特点、实现原理以及技术关键,阐述了使用 MATLAB 进行带通滤波 器设计及仿真的具体方法。可移植性强,在实际应用中,可根据不同的阶数、精度和速度 等要求对 IIR 滤波器系数进行灵活的修改,以实现任意阶数的 IIR 滤波器。因此,该设 计方法可靠性好,效率高,极大的减轻了工作量,有利于滤波器设计的最优化。 关键词 带通滤波器;IIR;

5、FIR;Matlab; Abstract This paper analyzes the situation of application and development of digital filter technology home and abroad. It introduces the basic structure of a digital filter, discusses different design methods of FIR and IIR filter, and points out that the traditional design method of di

6、gital filter is not only complex but also of heavy workload, even adjustment of filtering parametrer is very difficult. So it brings forwad another design method of digital filter which based on the Matlab software.This paper introduces the design method of a high Q value band-pass IIR filter which

7、meets the given standard - the pass band is 45-55Hz, with attenuation of less than 3db; the stop band is 40-60Hz with attenuation greater than 80db. It deeply analyzes the design features and principles of the filter system and the key technical in the design. Then it describs the use of Matlab in d

8、esign and simulation of the bandpass filte design. Portability is strong, in practical applications, but according to different order number, precision and speed of IIR filter coefficient for flexible modification, in order to realize the arbitrary order number IIR filter. Therefore, this design met

9、hod good reliability, high efficiency, greatly reduce the workload, be helpful for the optimization design of fir. Key wordsdigital filter IIR FIR Matlab 第 1 章 绪 论 1.1数字数字滤波器的研究背景与意义滤波器的研究背景与意义 随着集成电路技术的发展,各种新型的大规模和超大规模集成电路不断涌现集成电路 技术与计算机技术结合在一起,使得对数字信号处理系统功能的要求越来越强。DSP 技术 已在通信、控制、信号处理、仪器仪表、医疗、家电等很多

10、领域得到了越来越广泛的应用.在 数字信号处理中数字滤波占有极其重要的地位。数字滤波在语音信号、图象处理模式识别 和谱分析等领域中的一个基本的处理技术。数字滤波与模拟滤波相比数字滤波具有很多突 出的优点,主要是因为数字滤波器是过滤时间离散信号的数字系统,它可以用软件或用硬 件来实现,而且在两种情况下都可以用来过滤实时信号或非实时信号。 尽管数字滤波器这个名称一直到六十年代中期才出现,但是随着科学技术的发展及计 算机的更新普及,数字滤波器有着很好的发展前景。 几乎在所有的工程技术领域中都会涉及到信号的处理问题,其信号表现形式有电、磁、 机械以及热、光、声等。信号处理的目的一般是对信号进行分析、变换

11、、综合、估值与识 别等。如何在较强的噪声背景下提取出真正的信号或信号的特征,并将其应用于工程实际 是信号处理的首要任务。根据处理对象的不同,信号处理技术分为模拟信号处理系统和数 字信号处理系统。数字信号处理(Digital signal Processing,DSP)与模拟信号处理相比 有许多优点,如相对于温度和工艺的变化数字信号要比模拟信号更稳健,在数字表示中, 精度可以通过改变信号的字长来更好地控制,所以 DSP 技术可以在放大信号的同时去除噪 声和干扰,而在模拟信号中信号和噪声同时被放大,数字信号还可以不带误差地被存储和 恢复、发送和接收、处理和操纵。许多复杂的系统可以用高精度、大信噪比

12、和可重构的数 字技术来实现。 数字信号处理中一个非常重要且应用普遍的技术就是数字滤波。所谓数字滤波,是指 其输入、输出均为数字信号,通过一定的运算关系改变输入信号所含的频率成分的相对比 例或滤除某些频率成分,达到提取和加强信号中的有用成份,消弱无用的干扰成份的目的。 数字滤波与模拟滤波相比,有精度高、可靠性高、灵活性好等突出优点,可以满足对幅度 和相位的严格要求,还能降低开发费用,缩短研制到应用的时间,在很多领域逐步代替了 传统的模拟信号系统。 数字滤波器,在数字信号处理中有着广泛的应用,因此,无论是在理论研究上还是在 如通讯、HDTV(高清晰度电视)、雷达、图象处理、数字音频等实际应用上都有

13、着美好的技 术前景和巨大的实用价值3。 基于 matlab 实现数字滤波设计,可通过修改滤波器的参数十分方便地改变滤波器的 特性,因此我们有必要对滤波器的设计方法进行研究,理解其工作原理优化设计方法,设 计开发稳定性好的滤波器系统。掌握滤波器的设计技术和原理能为在通信领域、信号处理 领域等诸多领域中对数字滤波器的设计提供技术和准备,这不仅具有重要的理论意义同时 还具有重要的现实意义。 1.2数字滤波器的应用现状与发展趋势 在信号处理过程中,所处理的信号往往混有噪音,从接收到的信号中消除或减弱噪音 是信号传输和处理中十分重要的问题。根据有用信号和噪音的不同特性,提取有用信号的 过程称为滤波,实现

14、滤波功能的系统称为滤波器。在近代电信设备和各类控制系统中,数 字滤波器应用极为广泛,这里只列举部分应用最成功的领域。 (1) 语音处理 语音处理是最早应用数字滤波器的领域之一,也是最早推动数字信号处理理论发展的 领域之一。该领域主要包括 5 个方面的内容:第一,语音信号分析。即对语音信号的波形 特征、统计特性、模型参数等进行分析计算;第二,语音合成。即利用专用数字硬件或在 通用计算机上运行软件来产生语音;第三,语音识别。即用专用硬件或计算机识别人讲的 话,或者识别说话的人;第四,语音增强。即从噪音或干扰中提取被掩盖的语音信号。第 五,语音编码。主要用于语音数据压缩,目前已经建立了一系列语音编码

15、的国际标准,大 量用于通信和音频处理。近年来,这 5 个方面都取得了不少研究成果,并且,在市场上已 出现了一些相关的软件和硬件产品,例如,盲人阅读机、哑人语音合成器、口授打印机、 语音应答机,各种会说话的仪器和玩具,以及通信和视听产品大量使用的音频压缩编码技 术。 (2) 图像处理 数字滤波技术以成功地应用于静止图像和活动图像的恢复和增强、数据压缩、去噪音 和干扰、图像识别以及层析 X 射线摄影,还成功地应用于雷达、声纳、超声波和红外信号 的可见图像成像。 (3) 通信 在现代通信技术领域内,几乎没有一个分支不受到数字滤波技术的影响。信源编码、 信道编码、调制、多路复用、数据压缩以及自适应信道

16、均衡等,都广泛地采用数字滤波器, 特别是在数字通信、网络通信、图像通信、多媒体通信等应用中,离开了数字滤波器,几 乎是寸步难行。其中,被认为是通信技术未来发展方向的软件无线电技术,更是以数字滤 波技术为基础。 (4) 电视 数字电视取代模拟电视已是必然趋势。高清晰度电视的普及指日可待,与之配套的视 频光盘技术已形成具有巨大市场的产业;可视电话和会议电视产品不断更新换代。视频压 缩和音频压缩技术所取得的成就和标准化工作,促成了电视领域产业的蓬勃发展,而数字 滤波器及其相关技术是视频压缩和音频压缩技术的重要基础。 (5) 雷达 雷达信号占有的频带非常宽,数据传输速率也非常高,因而压缩数据量和降低数

17、据传 输速率是雷达信号数字处理面临的首要问题。告诉数字器件的出现促进了雷达信号处理技 术的进步。在现代雷达系统中,数字信号处理部分是不可缺少的,因为从信号的产生、滤 波、加工到目标参数的估计和目标成像显示都离不开数字滤波技术。雷达信号的数字滤波 器是当今十分活跃的研究领域之一。 (6) 声纳 声纳信号处理分为两大类,即有源声纳信号处理和无源声纳信号处理,有源声纳系统 涉及的许多理论和技术与雷达系统相同。例如,他们都要产生和发射脉冲式探测信号,他 们的信号处理任务都主要是对微弱的目标回波进行检测和分析,从而达到对目标进行探测、 定位、跟踪、导航、成像显示等目的,他们要应用到的主要信号处理技术包括

18、滤波、门限 比较、谱估计等。 (7) 生物医学信号处理 数字滤波器在医学中的应用日益广泛,如对脑电图和心电图的分析、层析 X 射线摄影 的计算机辅助分析、胎儿心音的自适应检测等。 (8) 音乐 数字滤波器为音乐领域开辟了一个新局面,在对音乐信号进行编辑、合成、以及在音 乐中加入交混回响、合声等特殊效果特殊方面,数字滤波技术都显示出了强大的威力。数 字滤波器还可用于作曲、录音和播放,或对旧录音带的音质进行恢复等。 (9) 其他领域 数字滤波器的应用领域如此广泛,以至于想完全列举他们是根本不可能的,除了以上 几个领域外,还有很多其他的应用领域。例如,在军事上被大量应用于导航、制导、电子 对抗、战场

19、侦察;在电力系统中被应用于能源分布规划和自动检测;在环境保护中被应用 于对空气污染和噪声干扰的自动监测,在经济领域中被应用于股票市场预测和经济效益分 析,等等。 1.3本文的主要内容与本文的主要内容与 本次毕业设计中主要完成的内容包括: (1) matlab 和数字滤波器的学习与了解 通过书籍阅读和文献搜索学习 MATLAB 和数字滤波器,并掌握 MATLAB 的使用和数字滤波器 的设计方法。 (2) 数字滤波器原理分析与设计方法概述。 分析数字滤波器的原理,对 FIR 数字滤波器常见设计方法的介绍。包括窗函数法,频率采 样法,最优化法。 (3) IIR 数字滤波器的 matlab 软件实现与

20、软件仿真 利用 matlab 软件进行 IIR 数字滤波器的程序设计和 matlab 自带的 FDATool 工具设计。 第 2 章 数字滤波器的概述 数字滤波器可以用查分方程、单位取样响应以及系统函数等表示。对于研究系统的实 现方法,即它的运算结构来说,用框图表示最为直接。 一个给定的输入输出关系,可以用多种不同的数字网络来实现。在不考虑量化影响时, 这些不同的实现方法是等效的;但在考虑量化影响时,这些不同的实现方法性能上就有差 异。因此,运算结构是很重要的,同一系统函数 H(z),运算结构的不同,将会影响系统的 精度、误差、稳定性、经济性以及运算速度等许多重要性能。IIR(无限冲激响应)滤

21、波器与 FIR(有限冲激响应)滤波器在结构上有自己不同的特点,在设计时需综合考虑。 2.1数字滤波器的基本结构 作为线形时不变系统的数字滤波器可以用系统函数来表示,而实现一个系统函数表达 式所表示的系统可以用两种方法:一种方法是采用计算机软件实现;另一种方法是用加法 器、乘法器、和延迟器等元件设计出专用的数字硬件系统,即硬件实现。不论软件实现还 是硬件实现,在滤波器设计过程中,由同一系统函数可以构成很多不同的运算结构。对于 无限精度的系数和变量,不同结构可能是等效的,与其输入和输出特性无关;但是在系数 和变量精度是有限的情况下,不同运算结构的性能就有很大的差异。因此,有必要对离散 时间系统的结

22、构有一基本认识。 2.1.1 IIRIIR 滤波器的基本结构滤波器的基本结构 一个数字滤波器可以用系统函数表示为: (2-1) 0 1 ( ) ( ) ( ) 1 M k k k N k k k b z Y z H z X z a z 由这样的系统函数可以得到表示系统输入与输出关系的常系数线形差分程为: (2-2) 00 ( )()() NM kk kk y na y nkb x nk 可见数字滤波器的功能就是把输入序列 x(n)通过一定的运算变换成输出序列 y(n)。不 同的运算处理方法决定了滤波器实现结构的不同。无限冲激响应滤波器的单位抽样响应 h(n)是无限长的,其差分方程如(2-2)式

23、所示,是递归式的,即结构上存在着输出信号到输 入信号的反馈,其系统函数具有(2-1)式的形式,因此在 z 平面的有限区间(0z)有 极点存在。 前面已经说明,对于一个给定的线形时不变系统的系统函数,有着各种不同的等效差 分方程或网络结构。由于乘法是一种耗时运算,而每个延迟单元都要有一个存储寄存器, 因此采用最少常熟乘法器和最少延迟支路的网络结构是通常的选择,以便提高运算速度和 减少存储器。然而,当需要考虑有限寄存器长度的影响时,往往也采用并非最少乘法器和 延迟单元的结构。 IIR 滤波器实现的基本结构有: (1)IIR 滤波器的直接型结构; 优点:延迟线减少一半,变为 N 个,可节省寄存器或存

24、储单元; 缺点:其它缺点同直接 I 型。 通常在实际中很少采用上述两种结构实现高阶系统,而是把高阶变成一系列不同组合 的低阶系统(一、二阶)来实现。 (2)IIR 滤波器的级联型结构; 特点: 系统实现简单,只需一个二阶节系统通过改变输入系数即可完成; 极点位置可单独调整; 运算速度快(可并行进行); 各二阶网络的误差互不影响,总的误差小,对字长要求低。 缺点: 不能直接调整零点,因多个二阶节的零点并不是整个系统函数的零点,当需要准确的 传输零点时,级联型最合适。 (3)IIR 滤波器的并联型结构。 优点: 简化实现,用一个二阶节,通过变换系数就可实现整个系统; 极、零点可单独控制、调整,调整

25、 1i、2i只单独调整了第 i 对零点,调整 1i、2i 则单独调整了第 i 对极点; 各二阶节零、极点的搭配可互换位置,优化组合以减小运算误差; 可流水线操作。 缺点: 二阶阶电平难控制,电平大易导致溢出,电平小则使信噪比减小。 a、直接型 b、并联型 c、串联型 图 2-1、IIR 滤波器的基本结构 2.1.2 FIRFIR 滤波器的基本结构滤波器的基本结构 FIR 滤波器7的单位抽样响应为有限长度,一般采用非递归形式实现。通常的 FIR 数 字滤波器有横截性和级联型两种。 FIR 滤波器实现的基本结构有: (1)FIR 滤波器的横截型结构 表示系统输入输出关系的差分方程可写作: (2-3

26、) 1 0 ( )( ) () N m y nh m x nm 直接由差分方程得出的实现结构如图 2-2 所示: 图 2-2、 横截型(直接型卷积型) 若 h(n)呈现对称特性,即此 FIR 滤波器具有线性相位,则可以简化加横截型结构,下 面分情况讨论: 图 2-3、N 为奇数时线形相位 FIR 滤波器实现结构 图 2-4、N 为偶数时线性相位 FIR 滤波器实现结构 (2)FIR 滤波器的级联型结构 将 H(z)分解成实系数二阶因子的乘积形式: (2-4) 1 2 12 012 01 ( )( ) N N N kkk Nk H zh n zbb zb z 这时 FIR 滤波器可用二阶节的级联

27、结构来实现,每个二阶节用横截型结构实现。如图 所示: 图 2-5、 FIR 滤波器的级联结构 这种结构的每一节控制一对零点,因而在需要控制传输零点时可以采用这种结构。 2.2数字滤波器的设计原理 数字滤波器根据其冲激响应函数的时域特性,可分为两种,即无限长冲激响应(IIR)滤 波器和有限长冲激响应(FIR)滤波器。IIR 滤波器的特征是,具有无限持续时间冲激响应。 这种滤波器一般需要用递归模型来实现,因而有时也称之为递归滤波器。FIR 滤波器的冲 激响应只能延续一定时间,在工程实际中可以采用递归的方式实现,也可以采用非递归的 方式实现。数字滤波器的设计方法有多种,如双线性变换法、窗函数设计法、

28、插值逼近法 和 Chebyshev 逼近法等等。随着 MATLAB 软件尤其是 MATLAB 的信号处理工作箱的不 断完善,不仅数字滤波器的计算机辅助设计有了可能,而且还可以使设计达到最优化。 数字滤波器设计的基本步骤如下: (1)确定指标 在设计一个滤波器之前,必须首先根据工程实际的需要确定滤波器的技术指标。在很 多实际应用中,数字滤波器常常被用来实现选频操作。因此,指标的形式一般在频域中给 出幅度和相位响应。幅度指标主要以两种方式给出。第一种是绝对指标。它提供对幅度响 应函数的要求,一般应用于 FIR 滤波器的设计。第二种指标是相对指标。它以分贝值的形 式给出要求。在工程实际中,这种指标最

29、受欢迎。对于相位响应指标形式,通常希望系统 在通频带中具有线性相位。运用线性相位响应指标进行滤波器设计具有如下优点:只包 含实数算法,不涉及复数运算;不存在延迟失真,只有固定数量的延迟;长度为 N 的滤波器(阶数为 N-1),计算量为 N/2 数量级。因此,本文中滤波器的设计就以线性相位 FIR 滤波器的设计为例。 (2)逼近 确定了技术指标后,就可以建立一个目标的数字滤波器模型。通常采用理想的数字滤 波器模型。之后,利用数字滤波器的设计方法,设计出一个实际滤波器模型来逼近给定的 目标。 (3)性能分析和计算机仿真 上两步的结果是得到以差分或系统函数或冲激响应描述的滤波器。根据这个描述就可 以

30、分析其频率特性和相位特性,以验证设计结果是否满足指标要求;或者利用计算机仿真 实现设计的滤波器,再分析滤波结果来判断。 2.2.1 滤波器的性能指标滤波器的性能指标 我们在进行滤波器设计时,需要确定其性能指标。一般来说,滤波器的性能要求往往 以频率响应的幅度特性的允许误差来表征。以低通滤波器特性为例,频率响应有通带、过 渡带及阻带三个范围。 在通带内: 1- AP| H(ej)| 1 |c 在阻带中: |H(ej)| Ast st |c 其中 c 为通带截止频率, st为阻带截止频率,Ap为通 带误差, Ast为阻带误差。 与模拟滤波器类似,数字滤波器按频率特性划分为低通、 高通、带通、带阻、

31、全通等类型,由于数字滤波器的频率响 应是周期性的,周期为 2。各种理想数字滤波器的幅度频率 响应如图所示: 图 2-7、 各种理想数字滤波器的幅度频率响应 2.2.2 IIRIIR 数字滤波器的设计方法数字滤波器的设计方法 目前,IIR 数字滤波器设计最通用的方法是借助于模拟滤波器的设计方法。模拟滤波 器设计已经有了一套相当成熟的方法,它不但有完整的设计公式,而且还有较为完整的图 表供查询,因此,充分利用这些已有的资源将会给数字滤波器的设计带来很大方便,IIR 数字滤波器的设计步骤是: (1)按一定规则将给出的数字滤波器的技术指标转换为模拟滤波器的技术指标; (2)根据转换后的技术指标设计模拟

32、低通滤波器 H(s); (3)在按一定规则将 H(s)转换为 H(z)。 若所设计的数字滤波器是低通的,那么上述设计工作可以结束,若所设计的是高通、 带通或者带阻滤波器,那么还有步骤: (4)将高通、带通或者带阻数字滤波器的技术指标先转化为低通滤波器的技术指标,然后 按上述步骤(2)设计出模拟低通滤波器 H(s),再由冲击响应不变法或双线性变换将 H(s)转 图 2-6、低通滤波器频率响应 幅度特性的容限图 换为所需的 H(z)。 s - z 映射的方法有:冲激响应不变法、阶跃响应不变法、双线性变换法等。下面讨 论双线性变换法。 双线性变换法8是指首先把 s 平面压缩变换到某一中介平面 s1

33、的一条横带(宽度为 2T,即从- T 到 T) ,然后再利用的关系把 s1 平面上的这条横带变换到整个 z Ts ez 1 平面。这样 s 平面与 z 平面是一一对应关系, 消除了多值变换性, 也就消除了频谱混叠 现象。 s 平面到 z 平面的变换可采用 (2-5) 2 tan( 1T (2-6) 22 22 11 11 T j T j T j T j ee ee j 令 ,有:sj 11 sj (2-7) Ts Ts TsTs TsTs e e ee ee s 1 1 11 11 1 1 22 22 从 s1 平面到 z 平面的变换,即 (2-8) Ts ez 1 代入上式,得到: (2-9

34、) 1 1 1 1 z z s 一般来说,为使模拟滤波器的某一频率与数字滤波器的任一频率有对应关系,可引 入代定常数 c, (2-10) 2 tan( 1T c 则 (2-11) 1 1 1 1 z sc z 这种 s 平面与 z 平面间的单值映射关系就是双线性变换。有了双线性变换,模拟滤 波器的数字化只须用进行置换。 2.2.3 FIRFIR 数字滤波器的设计方法数字滤波器的设计方法 IIR 滤波器7的优点是可利用模拟滤波器设计的结果,缺点是相位是非线性的,若需 要线性相位,则要用全通网络进行校正。FIR 滤波器的优点是可方便地实现线性相位。 FIR 滤波器单位冲激响应h(n)的特点: 其单

35、位冲激响应 h(n)是有限长(),系统函数为: (2-12) 1 0 ( )( ) N n n H zh n z 在有限 Z 平面有(N-1)个零点,而它的(N-1)个极点均位于原点 z=0 处。 Fir 滤波器线性相位的特点: 如果 FIR 滤波器的单位抽样响应 h(n)为实数,而且满足以下任一条件: 偶对称 h(n)h(N-1-n) 奇对称 h(n)-h(N-1-n) 其对称中心在 n(N-1)/2 处,则滤波器具有准确的线性相位。 窗函数设计法: 一般是先给定所要求的理想滤波器频率响应,由导出,我们知() j d He () j d He ( ) d h n 道理想滤波器的冲击响应是无限

36、长的非因果序列,而我们要设计的是是有限长( ) d h n( ) d h n 的 FIR 滤波器,所以要用有限长序列来逼近无限长序列,设:( ) d h n( ) d h n (2-13) 1 ( )() 2 jj dd h nHee d 常用的方法是用有限长度的窗函数w(n)来截取 即: (2-14) ( )( )( ) d h nn h n 这里窗函数就是矩形序列RN(n),加窗以后对理想低通滤波器的频率响应将产生什么样 的影响呢?根据在时域是相乘关系,在频域则是卷积关系: (2-15) () 1 ()() 2 jjj dR H eHeW ed 其中,为矩形窗谱, 是 FIR 滤波器频率响

37、应.() j H e 通过频域卷积过程看的幅度函数H()的起伏现象,可知,加窗处理后,对理 想矩形的频率响应产生以下几点影响: (1)使理想频率特性不连续点处边沿加宽,形成一个过渡带,其宽度等于窗的频率响应的 主瓣宽度。 (2)在截止频率的两边的地方即过渡带的两边,出现最大的肩峰值,肩峰的两侧形成起伏 振荡,其振荡幅度取决于旁瓣的相对幅度,而振荡的多少,则取决于旁瓣的多少。(3)改 变 N,只能改变窗谱的主瓣宽度,改变的坐标比例以及改变的绝对值大小,但不能改 变主瓣与旁瓣的相对比例(此比例由窗函数的形状决定)。 (4)对窗函数的要求 a、窗谱主瓣尽可能窄,以获取较陡的过渡带; b、尽量减小窗谱

38、的最大旁瓣的相对幅度;即能量集中于主瓣,使肩峰和波纹减小, 增大阻带的衰减。 频率采样法: 窗函数设计法是从时域出发,把理想的 hd(n)用一定形状的窗函数截取成有限长的 h(n), 来近似理想的 hd(n),这样得到的频率响应逼近于所要求的理想的频率响应 。 频率抽样法则是从频域出发,把给定的理想频率响应 加以等间隔抽样得到 ,然后以此 作为实际 FIR 滤波器的频率特性的抽样值 H(k),即 (2-16) 2 ( )()| jw dd HkHek N 知道 H(k)后,由 DFT 定义可唯一确定有限长序列 h(n),利用这 N 个频域抽样值 H(k) 同样利用频率内插公式可得 FIR 滤波

39、器的系统函数 H(z),及频率响应 ,即: 频率抽样法内插公式: (2-17) 1 1 0 1( ) ( ) 1 NN k k N zH k H z NWz 频率抽样法小结 优点:可以在频域直接设计,并且适合于最优化设计。 缺点:抽样频率只能等于 2/N 的整数倍,或等于2/N 的整数倍加上 /N。因而不 能确保截止频率 的自由取值,要想实现自由地选择截止频率,必须增加抽样点数 N, 但这又使计算量增大。 为了提高逼近质量,减少通带边缘由于抽样点的陡然变化而引起的起伏振荡。有目的 地在理想频率响应的不连续点的边缘,加上一些过渡的抽样点,增加过渡带,减少起伏振 荡。 2.3IIR 滤波器与 FI

40、R 滤波器的分析比较 前面已经介绍了 IIR 和 FIR 数字滤波器的设计方法,选择哪一种滤波器取决于每种类 型滤波器的优点在设计中的重要性。为了能在实际工作中恰当地选用合适的滤波器,现将 两种滤波器特点比较分析如下: (1) 选择数字滤波器是必须考虑经济问题,通常将硬件的复杂性、芯片的面积或计算 速度等作为衡量经济问题的因素。在相同的技术指标要求下,由于 IIR 数字滤波器存在输 出对输入的反馈,因此可以用较少的阶数来满足要求,所用的存储单元少,运算次数少, 较为经济。例如,用频率抽样法设计一个阻带衰减为 20dB 的 FIR 数字滤波器,要 33 阶 才能达到要求,而用双线性变换法只需 45 阶的切比雪夫 IIR 滤波器就可达到同样的技 术指标。这就是说

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1