基于AT89C51单片机的洗衣机控制电路设计.doc

上传人:土8路 文档编号:10074002 上传时间:2021-04-16 格式:DOC 页数:41 大小:446.50KB
返回 下载 相关 举报
基于AT89C51单片机的洗衣机控制电路设计.doc_第1页
第1页 / 共41页
基于AT89C51单片机的洗衣机控制电路设计.doc_第2页
第2页 / 共41页
基于AT89C51单片机的洗衣机控制电路设计.doc_第3页
第3页 / 共41页
基于AT89C51单片机的洗衣机控制电路设计.doc_第4页
第4页 / 共41页
基于AT89C51单片机的洗衣机控制电路设计.doc_第5页
第5页 / 共41页
点击查看更多>>
资源描述

《基于AT89C51单片机的洗衣机控制电路设计.doc》由会员分享,可在线阅读,更多相关《基于AT89C51单片机的洗衣机控制电路设计.doc(41页珍藏版)》请在三一文库上搜索。

1、摘 要 本文以 AT89C51 单片机为核心,设计了全自动洗衣机控制系统。本系统 实现了对洗衣机整个洗衣过程的控制,包括用户参数输入、洗衣和脱水三个阶 段。控制系统主要由电源电路、数字控制电路和机械控制电路三大模块构成。 控制程序设计包括定时中断服务程序、外中断服务程序及主程序。该系统具有 控制简单,操作方便等特点。 关键词关键词:单片机;全自动;洗衣机;实时控制;定时电路 ABSTRACT Thisarticledesignsafull- automaticwashingmachinecontrolsystemwith8051ascore.Thissystemrealizeswholewor

2、 kingcourseoffull- automaticwashingmachine,includingfourparts:userparameterinput,washanddehydrate. controlproceduresdesignedtimerinterruptserviceprocedures,externalinterruptservicepro ceduresandthemainprogram.Thecontrolsystemissimple,user-friendlyfeatures. Keywords:SCM;Full-automatic;washingmachine;

3、Realtimecontrol;Timingcircuit. 目目 录录 摘要.I ABSTRACT.II 第 1 章引言.1 1.1 本课题研究的目的和意义 .1 1.2 洗衣机的发展和分类 .1 1.3 洗衣机的基本工作原理 .2 1.4 设计论文的主要内容及基本要求 .3 第 2 章设计方案与论证.4 2.1 方案论证 .4 2.2 洗衣机整体电路分析 .5 第 3 章硬件系统设计.7 3.1 电源部分 .7 3.2 数字控制电路部分 .9 3.2.1 片机主控模块 .9 3.2.274138 译码器 .12 3.2.3LED 显示模块 .14 3.2.4 按键输入模块 .16 3.2.

4、5 蜂鸣器报警模块 .16 3.2.6 指示灯模块 .17 3.3 机械控制电路部分 .18 3.3.1 电控水龙头 .18 3.3.2 水位检测机构 .18 3.3.3 电动机控制 .20 3.4 硬件系统整机电路 .21 第 4 章软件设计.22 4.1 程序流程图 .22 4.2 程序设计 .25 第 5 章系统工作流程.26 第 6 章结束语.27 致谢.28 参考文献.29 附录 1 整机电路图.30 附录 2 程序.31 第 1 章引言 1.1 本课题研究的目的和意义 洗衣机是现代人必备的日常生活家用电器之一,它的发明和应用使人们的 洗衣工作变得省时又省力,很好地缓解了人们在家务劳

5、动方面的压力。而在家 电市场竞争日益激烈和利润下降的今天,各大家电生产厂商均致力于开发出能 满足用户各种要求的智能家电产品,并努力降低生产成本以增强竞争力。作为 家电市场中的重要成员,全自动洗衣机的市场竞争更是趋于白炽化。在数字技 术风行的今天,大多数的家用电器实现了数字化控制。作为一个价廉物美的微 处理器,单片机被广泛应用在各种数字系统中。基于单片机的全自动洗衣机控 制系统是单片机应用的一个典型例子。本系统以 AT89C51 单片机为核心,设计 了全自动洗衣机控制系统。 对基于单片机的全自动洗衣机控制系统设计进行深入研究,可使我们掌握 全自动洗衣机这种重要家电的工作原理和控制系统,进一步了解

6、单片机在不同 领域的应用方法,学会维修全自动洗衣机的基本技术,同时也为将来从事电子 信息行业工作打下一定基础,因此对洗衣机控制电路的研究有其极其重要的理 论和现实意义。 1.2 洗衣机的发展和分类 全自动洗衣机是一种除放、取衣物和开动洗衣机这三道手续外,其余洗衣 各程序全部自动完成的机电设备。1874 年美国的比尔布莱克斯通发明了木制 手摇洗衣机,这是世界上第一台人工搅动洗衣机。1911 年美国人又研制了世界 上第一台电动洗衣机。1920 年美国的玛依塔格公司又把洗衣机的木制桶改为铝 制桶体,第二年又把铝制桶体改为外层铸铝、内层为铜板的双层结构。1936 年, 他们又将搪瓷用于洗衣机桶体。与此

7、同时,世界各地也相继出现了洗衣机。欧 洲国家研究成功了喷流式洗衣机和滚筒式洗衣机。1932 年后,美国一家公司研 制成功了第一台前装式滚筒全自动洗衣机,洗涤、漂洗和脱水都在同一个滚筒 内自动完成,使洗衣机的发展跃上了一个新台阶。这种滚筒洗衣机,目前在欧 洲、美洲等地得到了广泛的应用。1955 年,在引进英国喷流式洗衣机的基础之 上,日本研制出独具风格、并流行至今的波轮式洗衣机。至此,波轮式、滚筒 式、搅拌式在洗衣机生产领域三分天下的局面初步形成。第二次世界大战结束 后,洗衣机得到了迅速的发展,研制出具有独特风格的波轮式洗衣机。这种洗 衣机由于其波轮安装在洗衣桶底,又称涡卷式洗衣机。近几十年,在

8、工业发达 国家,全自动洗衣机制造技术又得到迅速发展,其年总产量及社会普及率均以 达到相当高得水平。目前世界洗衣机年总产量近 5000 万台,而全自动洗衣机的 产量呈增长趋势,在技术性能上正向着节水、节能、高效、结构更趋合理的方 向发展。微电脑控制功能、新型的洗涤方式、高速脱水以及低噪音等方面都有 了很大提高。 在中国,由于历史原因,洗衣机工业起步较晚,直到 1978 年才正式生产家 用洗衣机。但是,随着人们认识的发展,尤其是改革开放的不断深化,进入 80 年代后的洗衣机工业并没有像一些人预料的那样停步不前,而是保持着旺盛的 发展势头。1983 年洗衣机产量由 1978 年的 400 台飙升到

9、365 万台,此后全国 各地掀起了大规模的技术引进热潮,大约有 40 多个厂家先后从洗衣机技术先进 国日本、英国、法国、意大利、澳大利亚等引进技术 60 多项。技术的引进、吸 收和创新,极大地提升了国产洗衣机的产业素质与生产能力,缩短了同发达国 家之间的差距。如今,我国洗衣机年产量约占世界年产量的四分之一,居于世 界首位。除了在数量和品种上满足国内市场外,还出口到北美、欧洲、东南亚 等地,跻身于国际洗衣机市场的竞争行列。 16 1.3 洗衣机的基本工作原理 洗衣机的洗涤过程主要是在机械产生的排渗、冲刷等机械作用和洗涤剂的 润湿、分散作用下,将污垢溶入水中来实现洗净的目的。首先充满于波轮叶片 间

10、的洗涤液,在离心力的作用下被高速甩向桶壁,并沿桶壁上升。在波轮中心 处,因甩出液体而形成低压区,又使得洗涤液流回波轮附近。这样,在波轮附 近形成了。波轮轴线为中心的涡流。衣物在涡流的作用下,作螺旋式回转,吸 入中心后又被甩向桶壁,与桶壁发生摩擦。又由于波轮中心是低压区,衣物易 被吸在波轮附近,不断地与波轮发生摩擦,如同人工揉搓衣物,污垢被迫脱离 衣物。其次,当衣物被放进洗涤液之后,由于惯性作用运动缓慢,在水流与衣 物之间存在着速度差,使得两者发生相对运动,水流与衣物便发生相对摩擦, 这种水流冲刷力同样有助于污垢离开衣物。再次由于洗衣桶形状的不规则,当 旋转着的水流碰到桶壁后,其速度和方向都发生

11、了改变,形成湍流。在湍流的 作用下,衣物做无规则地运动并翻滚,其纤维不断被弯曲、绞纽扣拉长,衣物 相互相摩擦,增大了洗涤的有效面积,提高衣物的洗净的均匀性。 而全自动洗衣机就是在一个套桶内自动完成洗涤、漂洗和脱水全过程,不 用人管,使用者只要设定各步的时间。洗衣机的控制电路则是完成上述过程的 自动控制。 1.4 设计论文的主要内容及基本要求设计论文的主要内容及基本要求 本设计采用 AT89C51 单片机设计一个洗衣机控制电路,它具有以下功能: (1)洗衣机可选择强弱洗状态,洗涤次数为 4,洗涤时间为 30 分钟,脱 水时间为 5 分钟并用 7 个 LED 和 2 个显示器分别表示其工作状态和显

12、示相应状 态下的时间; (2)包含硬件设计和软件设计; (3)设计程序自动完成洗衣.脱水的全过程; (4)脱水完成后,洗衣机的蜂鸣器发出 5 次“嘟嘟”声,表示洗衣机工作 结束。 第 2 章设计方案与论证 2.1 方案论证 方案一:可以用纯机械式来设计控制器。本方法利用机械原理来设计定时 器。例如可以利用弹簧储存能量和释放能量的过程得到定时时间。其原理如同 机械式(上发条)的钟表。由于长时间工作,金属容易疲劳,一旦出现这样的情况, 其定时的误差变大,导致定时不准确。同时由于金属的疲劳和磨损等,可能引发 定时器停摆,轮子打滑,进水等问题。但机械式控制器具有制造方便,成本低等特 点,所以在很多场合

13、应用面依然比较广。 方案二:采用专用集成电路(ASIC 芯片)。专用集成电路(ASIC 芯片)具 有价格低,体积小,可靠性高等优点。它一方面克服了机械式控制器所引发的定 时器停摆,轮子打滑,进水等缺点;另一方面也克服了利用单片机设计的控制器的 问题,不易出现死机,程序调用混乱等问题。同时,采用专用芯片大批量生产时, 其性价比高。 方案三:利用单片机控制。利用单片机设计控制器是一种理想的设计方案。 其优点突出,主要表现为:前期开发投入少,效率高,设计周期短,控制精度较高 操作灵便。 本设计采用 AT89C51 单片机控制,单片机是在一块芯片上集成了 CPU,存 贮器、定时/计数器和多功能 I/O

14、 口的微处理器,主要用于控制系统中。它具有 体积小、功能强和价格便宜等优点,因而广泛地应用在家用电器上。近几年来 单片机在家电上的应用越来越广泛,取得惊人的进步和成果。 2.2 洗衣机整体电路分 AT89C51 单片机 74138 译码 键盘输入 电动机控制 蜂鸣器 指示灯 水位检测机构 电控水笼头 数码显示 图 2-1 整机框图 全自动洗衣机的组成方框图如图 2-1 所示,组成部件有 AT89C51 单片机、 74138 译码器、水位检测机构、指示灯、电动机、蜂鸣器、电控水龙头、LED 显 示器及 4 只按键。AT89C51 为主控模块,译码器完成主控模块与指示灯的连接, 键盘输入部分用于洗

15、衣机的工作方式选择,指示灯配合按键工作,用于指示用 户洗衣机的工作状态,LED 显示模块用于显示洗衣剩余时间和脱水剩余时间, 蜂鸣器用于提示用户脱水时间结束。水位检测机构由玻璃管、浮子、金属滑杆 等组成,玻璃管与洗衣桶相连,玻璃管中的水位就是洗衣桶内的水位,在放水 或进水的过程中,浮子带动金属管上下移动,当水位处于最高点或最低点时, 金属滑杆都与金属地相连,致使引脚 INT 处于低电平,向 CPU 申请中断,否则 INT1 被上拉电阻上拉为高电平。74138 的输入端 C、B、A 分别接单片机的 P1.3、P1.4、P1.5,输出端 Y0 控制“洗衣剩余时间”指示灯,Y1 控制“脱水 剩余时间

16、”指示灯,Y2 控制“强洗”指示灯,Y3 控制“弱洗”指示灯,Y4 控 制“洗涤次数”指示灯,Y5 控制“洗衣定时”指示灯,Y6 控制“脱水定时”指 示灯。电动机有 2 个控制端,一端控制电动机正向运转,该端与 P1.0 相连,另 一端控制电动机反向运转,该端与 P1.1 相连。电控水龙头共 2 只,一只为进水 龙头,受 P3.0 控制,另一只为出水龙头,受 P3.1 控制,当电控水龙头的控制 端为“1”时,水龙头打开,当电控水龙头的控制端为“0”时,水龙头关闭。 LED 显示器共 2 只,P0 控制高位显示器,P2 控制低位显示器,按键 4 只,分别 为“编程选择”、“增”、“减”,和“启动

17、键”,这 4 只键组成的 22 键的 矩阵式键盘,该键盘使用引脚 INT0 向 CPU 申请中断,P3.5 和 P3.4 为该键盘的 行输出,P3.6 和 P3.7 为该键盘的列输入,蜂鸣器由 P1.2 控制,当 P1.2 输出 为“1”时,蜂鸣器发声。本系统采用 6M 的晶体振荡器,定时器 0 和定时器 1 的初始值设置为每隔 100 毫秒产生 1 次中断。 第 3 章硬件系统设计 洗衣机控制电路中硬件设计包括三大部分: (1)电源电路部分:为数字控制电路提供稳定的 5V 直流电压,为电动机 提供 220V 市电。 (2)数字控制电路部分:负责控制洗衣机的工作过程。主要由 AT89C51 单

18、 片机、两位共阴数码管、按键、蜂鸣器、LED 指示灯组成。 (3)机械控制电路部分:实现水位检测、电机驱动、进水、排水等功能。 主要由水位检测器、电动机等组成。 硬件设计部分是洗衣机控制系统中的重要组成部分,它以 AT89C51 单片机 为核心,完成了对洗衣机整个洗衣过程的控制,包括用户参数输入、洗衣和脱 水三个阶段。实现了全自动洗衣机的基本功能。 3.1 电源部分 洗衣机的电源电路既能完成为数字电路提供稳定的 5V 直流电压,又能完成 为电动机提供 220V 市电。在该设计中电源电路由整流滤波电路、过流保护电路 及稳压电路三部分组成(原理图如图 3-1 所示)。其中 D1D4 功能为整流,C

19、1 是滤波电容,此电源经小功率三级管 Q1(C548)与大功率三级管 Q2(TIP42C) 组成的电子开关电路,送至三端稳压器(LM317)的输入端,最后从 LM317 的输出 端输出 5V 电压。过流保护电路由过流电阻 R6、R9、延时电路 C3 及单向可控硅、 电子开关电路组成,当稳压器输出的电流超过额定值的 20时,流保护起作用。 稳压电路由集成稳压块 LM317 与取样电路组成,LM317 作为输出电压可变的集 成三端稳压块,是一种使用方便、应用广泛的集成稳压块。LM317 的输出端与 调整端之间的电压恒定为 1.25V,取样电阻位于输出端和调整端之间,调节可 变电阻的阻值即可改变输出

20、电压。如图 3-2 所示,输出电压的计算公式为: U0=1.25(1+R2/R1)(3-1) 图 3-1 电源部分原理图 图 3-2 稳压电路原理图 3.2 数字控制电路部分 数字控制电路部分负责控制洗衣机的工作过程。主要组成部件有 AT89C51 单片机、74138 译码器、指示灯、电动机、蜂鸣器、LED 显示器及 4 只按键。框 图如图 3-3 所示。键盘输入部分用于洗衣机的工作方式选择,指示灯配合按键 123456 A B C D 654321 D C B A Title Num berRevisionSize B Date:20-May-2008Sheet of File:G:QIM

21、INGhyan.ddbDrawn By: 1 2 3 4 D1 C1 100u/25v C2 47u/25v C3 22u C5 22u LED Q1 NPN Q2 PNP R1 1k R2 4.2k R4 1k R6 RES2 R9 1k R6 RES2 R3 4.1k Q0 SCR100-6 Vin 1 GND 2 Vout 3 LM317 T1 15w R10 1k R5 1k C4 220u/15v 位位 220v 15v 工作,用于指示用户洗衣机的工作状态,LED 显示模块用于显示洗衣剩余时间 和脱水剩余时间,蜂鸣器用于提示用户脱水时间结束。 AT89C51 单片机 指示灯74138

22、 译码器 数码显示 键盘输入 蜂鸣器 图 3-3 数字控制电路框图 3.2.1 单片机主控模块 单片机是大规模、超大规模集成电路技术发展的结果,它控制功能强,运 算速度快,并且软、硬件开发灵活方便。单片机以独特的优点而得到迅速推广 应用,其中家用电器就是其应用中的一个领域,用单片机取代传统的家用电器 中机械控制部件,并实现家电智能化。由此确定了单片机在家用电器中的重要 地位。 AT89C51 是一种带 4K 字节闪烁可编程可擦除只读存储器(FPEROM FalshProgrammableandErasableReadOnlyMemory)的低电压,高性能 CMOS8 位 微处理器,俗称单片机。

23、AT89C2051 是一种带 2K 字节闪烁可编程可擦除只读存 储器的单片机。单片机的可擦除只读存储器可以反复擦除 100 次。该器件采用 ATMEL 高密度非易失存储器制造技术制造,与工业标准的 MCS-51 指令集和输出 管脚相兼容。AT89C51 单片机管脚图如 图 3-4 所示。 1主要特性:主要特性: 与 MCS-51 兼容 4K 字节可编程闪烁存储器 寿命:1000 写/擦循环 数据保留时间:10 年 全静态工作:0Hz-24Hz 三级程序存储器锁定 128*8 位内部 RAM 32 可编程 I/O 线 两个 16 位定时器/计数器 5 个中断源 可编程串行通道 低功耗的闲置和掉电

24、模式 片内振荡器和时钟电路 2管脚说明管脚说明:图 3-4AT89C51 单片机管脚图 VCC:供电电压。 GND:接地。 P0 口:P0 口为一个 8 位漏级开路双向 I/O 口,每脚可吸收 8TTL 门电流。 当 P1 口的管脚第一次写 1 时,被定义为高阻输入。P0 能够用于外部程序数据 存储器,它可以被定义为数据/地址的第八位。在 FIASH 编程时,P0 口作为原 码输入口,当 FIASH 进行校验时,P0 输出原码,此时 P0 外部必须被拉高。 P1 口:P1 口是一个内部提供上拉电阻的 8 位双向 I/O 口,P1 口缓冲器能 接收输出 4TTL 门电流。P1 口管脚写入 1 后

25、,被内部上拉为高,可用作输入, P1 口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在 FLASH 编程和校验时,P1 口作为第八位地址接收。 P2 口:P2 口为一个内部上拉电阻的 8 位双向 I/O 口,P2 口缓冲器可接收, 输出 4 个 TTL 门电流,当 P2 口被写“1”时,其管脚被内部上拉电阻拉高,且 作为输入。并因此作为输入时,P2 口的管脚被外部拉低,将输出电流。这是由 于内部上拉的缘故。P2 口当用于外部程序存储器或 16 位地址外部数据存储器 进行存取时,P2 口输出地址的高八位。在给出地址“1”时,它利用内部上拉 优势,当对外部八位地址数据存储器进行读写时

26、,P2 口输出其特殊功能寄存器 的内容。P2 口在 FLASH 编程和校验时接收高八位地址信号和控制信号。 P3 口:P3 口管脚是 8 个带内部上拉电阻的双向 I/O 口,可接收输出 4 个 TTL 门电流。当 P3 口写入“1”后,它们被内部上拉为高电平,并用作输入。 作为输入,由于外部下拉为低电平,P3 口将输出电流(ILL)这是由于上拉的 缘故。P3 口也可作为 AT89C51 的一些特殊功能口,如表 3-1 所示: 表 3-1P3 口引脚的特殊功能表 I/O 口线专用功能 P3.0 RXD(串行数据接收) P3.1 TXD(串行数据发送) P3.2 (外部中断 0 请求输入)INT0

27、 P3.3 (外部中断 1 请求输入)INT1 P3.4 T0(定时器 0 自问计数脉冲输入) P3.5 T1(定时器 1 外部计数脉冲输入) P3.6 (外部数据存储器写信号)WR P3.7 (外部数据存储器读信号)RD RST:复位输入。当振荡器复位器件时,要保持 RST 脚两个机器周期的高电 平时间。 ALE/:当访问外部存储器时,地址锁存允许的输出电平用于锁存地PROG 址的地位字节。在 FLASH 编程期间,此引脚用于输入编程脉冲。在平时,ALE 端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的 1/6。因此它可 用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部

28、数据 存储器时,将跳过一个 ALE 脉冲。如想禁止 ALE 的输出可在 SFR8EH 地址上置 0。此时,ALE 只有在执行 MOVX,MOVC 指令是 ALE 才起作用。另外,该引脚被 略微拉高。如果微处理器在外部执行状态 ALE 禁止,置位无效。 PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每 个机器周期两次PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN 信号将不出现。 /Vpp:当/EA 保持低电平时,则在此期间外部程序存储器(0000H-EA FFFFH) ,不管是否有内部程序存储器。注意加密方式 1 时,将内部锁定为EA RESET;当/EA 端保

29、持高电平时,此间内部程序存储器。在 FLASH 编程期间,此 引脚也用于施加 12V 编程电源(VPP) 。 XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。 3.2.274138 译码器 译码器是一种具有“翻译”功能的逻辑电路,其逻辑功能是将每个输入的 二进制代码译成对应的高、低电平信号。译码器按功能可分为两大类,即通用 译码器和显示译码器。本设计中的 74138 译码器是一种通用译码器,它是用 TTL 与非门组成的 3 线8 线译码器。74138 译码器结构图如图 3-5 所示。 74138 译码器的三个输入端 CBA 共有 8 种状态组合(0

30、00111) ,可译出 8 个输 出信号 Y0Y7。这种译码器设有三个使能输入端,当 G2A与 G2B均为 0,且 G1为 1 时,译码器处于工作状态,输出低电平。当译码器被禁止时,输出高电平。 74138 译码器的管脚图如图 3-6 所示,其功能表如表 3-2。 * ;内中断 1 ;* st1: movth1,#3ch movtl1,#0b0h inctimers pushacc mova,timers cjnea,#10,t1lab1 popacc movtimers,#0 inctimerm pushacc mova,timerm cjnea,#60,t1lab1 popacc movt

31、imerm,#0 mova,#99 adda,73h daa movr1,#73h lcalldisplay mova,73h jnzt1lab1 clrtr1 t1lab1: reti ;* ;外中断 0 ;* sint0: setbp3.5;键盘矩阵第一条行线送出高电平 clrp3.4;第二条行线送出低电平 mova,p3;读回列线状态 anla,#0c0h cjnea,#0c0h,intlab1;只保留两条列线状态 clrp3.5 setbp3.4 mova,p3 anla,#0c0h orla,#20h intlab1: cjnea,0c0h,intlab3;判断键码是否为 0A0H,

32、即按键是否为“编程选择” 不是则跳转 inc76h movr0,76h cjner0,#5,intlab2 mov76h,#1;若编程状态大于 4 则使其为 1 intlab2: pushacc mova,76h cjnea,#1,intlab21 popacc clrp1.3;使译码器输入端为 100 setbp1.4 clrp1.5 reti intlab21: pushacc mova,76h cjnea,#2,intlab22 popacc setbp1.3 clrp1.4 clrp1.5 movr1,71h lcalldisplay;调用显示子程序 reti intlab22: pu

33、shacc mova,76h cjnea,#3,intlab23 popacc setbp1.3 clrp1.4 setbp1.5 movr1,72h lcalldisplay reti intlab23: setbp1.3 setbp1.4 clrp1.5 movr1,73h lcalldisplay reti intlab3: cjnea,#6,intlab4;判断键码是否为 6,即按键是否为“增” pushacc mova,76h cjnea,#1,intlab31;判断编程状态是否为 1 popacc xrl70h,#0ffh;切换强弱洗状态 cplp1.5;切换强弱洗指示灯 reti

34、 intlab31: pushacc mova,76h cjnea,#2,intlab32 popacc mova,#1 adda,71h daa movr1,71h lcalldisplay reti;中断返回 intlab32:pushacc mova,76h cjnea,#3,intlab33 popacc mova,#1 adda,72h daa movr1,72h lcalldisplay reti intlab33:mova,#1 adda,73h daa movr1,73h lcalldisplay reti intlab4:cjnea,#8,intlab5 pushacc mo

35、va,76h cjnea,#1,intlab41 popacc xrl70h,#0ffh cplp1.5 reti intlab41:pushacc mova,76h cjnea,#2,intlab42 popacc mova,#99h adda,71h daa movr1,71h lcalldisplay reti intlab42:pushacc mova,76h cjnea,#3,intlab43 popacc mova,#99h adda,72h daa movr1,72h lcalldisplay reti intlab43:mova,#99h adda,73h daa movr1,73h lcalldisplay reti intlab5:setbf0 reti display:mova,r1 swapa anla,#0fh movdptr,#dislab movca,a+dptr movp0,a mova,r1 anla,#0fh movca,a+dptr movp2,a ret end

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1