874381023LED汉字计时报讯屏设计论文.doc

上传人:土8路 文档编号:10167425 上传时间:2021-04-25 格式:DOC 页数:21 大小:1.69MB
返回 下载 相关 举报
874381023LED汉字计时报讯屏设计论文.doc_第1页
第1页 / 共21页
874381023LED汉字计时报讯屏设计论文.doc_第2页
第2页 / 共21页
874381023LED汉字计时报讯屏设计论文.doc_第3页
第3页 / 共21页
874381023LED汉字计时报讯屏设计论文.doc_第4页
第4页 / 共21页
874381023LED汉字计时报讯屏设计论文.doc_第5页
第5页 / 共21页
点击查看更多>>
资源描述

《874381023LED汉字计时报讯屏设计论文.doc》由会员分享,可在线阅读,更多相关《874381023LED汉字计时报讯屏设计论文.doc(21页珍藏版)》请在三一文库上搜索。

1、设计报告项目名称: LED汉字计时报讯屏 小组成员: 所在系(院)、年级: 填表时间: 2010-05-19 目录1引言2Introduction31系统设计及方案比较与论证41.1.1 控制器模块41.1.2 列驱动模块41.1.3行驱动模块41.1.4按键控制模块41.1.5语音模块41.2.方案选定52 软件实现72.1.1系显示驱动程序72.1.2系统主程序83 性能分析总结93.1.1调试所有基本仪器93.1.2各部分电路组装与测试93.1.3创新分析93.2.1总结10致谢10参考文献10附录A 1616的点阵LED图文显示屏的硬件原理图11附录 B 主要汇编程序清单12引言LED

2、点阵显示屏作为一种新兴的显示器件,是由多个独立的LED发光二极管封装而成. LED点阵显示屏可以显示数字或符号, 通常用来显示时间、速度、系统状态等。我们设计出了一种基于AT89S52单片机的1664 点阵LED显示屏的设计方案。包括系统具体的硬件设计方案,软件流程图和部分汇编语言程序等方面。在负载范围内, 只需通过简单的级联就可以对显示屏进行扩展,是一种成本低廉的图文显示方案,并且可以进行实时报讯。由于其具有色彩鲜艳,动态范围广,亮度高,寿命长,工作稳定可靠等优点。目前大多数的电子显示牌都是采用固定的板块显示,显示的信息量少,内容固定,修改显示信息比较麻烦,不能快速、便捷的更新显示信息。本文

3、提出的方案,采用LED点阵显示模块,克服了上述缺点,不仅可以静态的显示显示信息,而且也可以通过动态滚动,从而增加信息显示的容量。为了醒目,还可以产生诸如闪动、滚动、实时报讯等效果。它具有发光率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。并广泛的用于公交汽车、商店、体育场馆、车站、学校、银行、高速公路等公共场所的信息发布和广告宣传。LED 显示屏发展较快,本文讲述了基于AT89C51单片机88 LED汉字点阵滚动显示的基本原理、硬件组成与设计、程序编写与调试、Proteus软件仿真等基本环节和相关技术。IntroductionLED dot matrix display,

4、 as a new display device, by a number of independent LED light-emitting diode package is made. LED dot matrix display can display numbers or symbols, usually used to display time, speed, system status.We designed an AT89S52 microcontroller based dot matrix 16 64 LED display design.Including the syst

5、em specific hardware design, software flow chart and some assembly language procedures.In the load range, just a simple cascade can be expanded on display is a low-cost graphics display options, and can report real-time information.Because of its colorful, wide dynamic range, high brightness, long l

6、ife, stable and reliable.Most of the electronic display board and are based on a fixed plate shows the information displayed less, fixed content, modify the display of information is too much trouble, can not be quickly and easily update information displayed.This proposal, LED dot matrix display mo

7、dule, to overcome these shortcomings, the display shows not only static information, but can also be dynamic rolling, thus increasing the capacity of the information displayed.For eye-catching, but also can produce, such as flashing, scrolling, real-time reporting information and other effects.It ha

8、s high light, long life, flexible configuration, color-rich as well as indoor and outdoor environment, strong ability to adapt.And widely used in public transport vehicles, shops, stadiums, railway stations, schools, banks, highways and other public places, information publishing and advertising.LED

9、 display developed rapidly, the paper describes the AT89C51 microcontroller based on 8 8 LED dot matrix character scrolling display the basic principles, hardware and design, programming and debugging, Proteus software simulation, and other basic links and related technologies.1.系统设计及方案比较与论证根据题目的要求,

10、硬件电路大致上可以分成单片机系统及外围电路、列驱动电路、行驱动电路、按键控制模块和语音模块五部分。系统框图如图1:图1 系统框图1.1.1控制器模块方案1:采用可编程逻辑器件CPLD作为控制器。CPLD可以实现各种复杂的逻辑功能,规模大,密度高,体积小,稳定性高,IO资源丰富,易于进行功能扩展。采用并行的输入输出方式,提高了系统的处理速度,适合作为大规模实时系统的控制核心。但本设计不需复杂的逻辑功能,对数据处理的速度要求也不高。方案2:采用单片机作为控制器。选择AT89S52,它是8位微处理器,具有体积小、集成度高、易扩展、可靠性高、功耗低、结构简单、中断处理能力强等特点,内嵌8k字闪存FLA

11、SH,处理速度高,尤其适用于电子设计等应用领域。1.1.2列驱动模块方案1:采用74ls595芯片串行输出。串行输出虽然可以适用于长距离传输,节约部分的I/O口,但利用74ls159串行输出的缺点是传送速度较低,容易造 成闪屏。方案二。利用74ls154与非门的结合。本方案有利于提高传输的效率,且使单片机的I/O口得到充分的利用,有利于编程的实现。1.1.3行驱动模块方案:单片机P1口低4位输出的行号经4/16线译码器74LS154译码后生成16条行选通信号线,再经过驱动器驱动对应的行线。采用8050三极管可满足要求。1.1.4 按键控制模块方案:采用2个7408与门控制可满足要求。1.1.5

12、语音模块方案1: ISD1720的独立按键工作模式录放电路非常简单,而且功能强大。不仅有录、放功能,还有快进、擦除、音量控制、直通放音和复位等功能 方案2:利用61单片机进行存储和放音。凌阳61单片机是16位单片机,虽然具有具有DSP功能,有较强的信息处理能力,但不能实现任意声音的录制与播放,增加了语音烧烤的麻烦环节。 1.2 方案选定经过仔细的分析和论证,决定了系统各模块的方案如下:控制器模块: AT89S52列驱动模块: 74ls154与74ls04的结合行驱动模块: 74ls154与8050三极管按键控制模块: 74ls08与门语音模块: ISD1720控制器模块:单片机采用AT89S5

13、2芯片,采用12MHZ高频率晶振,以获得较高的刷新频率,时期显示更稳定。单片机的串口与列驱动器相连,用来显示数据。P1口低4位与行驱动器相连,送出行选信号。延时,再扫描下一列,再送数如此循环送数扫描,扫描完32次后,即完成了一个16X16点阵文字或图形的显示。1664的点阵显示屏的硬件原理图如图2所示。显示屏的引脚排列图2 88点阵的外观及引脚图如将上述的88led显示屏扩展为1664点阵的显示屏,则水平方向应有8个88LED点阵,垂直方向应有2个88LED点阵,整个显示屏由16个88LED点阵组成。由于一行的 LED点数太多,可将行驱动分成 4组驱动,每一组驱动1616256个LED点。由于

14、垂直方向对应的行数达16行,则垂直方向应分成2组驱动,每一组驱动8行LED点。列驱动模块:列驱动电路由两个非门和四个74LS154构成。74ls154 为 4 线16 线译码器,当选通端(G1、G2)均为低电平时,可将地址端(ABCD)的二进制编码在一个对应的输出端,以低电平译出。通过两个非门控制选择任意一个74ls154进行译码,提高了扫描的效率。 图3 列驱动器引脚功能介绍A、B、C、D 译码地址输入端(低电平有效)G1、G2 选通端(低电平有效)015 输出端(低电平有效)行驱动模块:单片机P1 P2口输出的行号经两个地址锁存器74ls373后生成16条行选通信号线,再经过驱动器驱动对应

15、的行线。一条行线上要带动16列的LED进行显示,按每一LED器件20MA电流计算,16个LED同时发光时,需要320MA电流,方案选用三极管8050作为驱动管即可满足要求。按键控制模块:采用系统中断的方法,进行按键的扫描进而进行控制。利用74ls08与门设定两个优先级不同的外部中断,当发现中断信号时,采用扫描P3.1 P3.2 P3.6 P3.7等不同的I/O口分别控制清屏、启动、左移、右移、暂停、顺计时和六十分钟倒计时切换的基本控制功能。对于灰度的控制,我们利用电位器实现了最适亮度的调节,这样可以实现多选择性。语音模块: ISD1720的独立按键工作模式录放电路非常简单,而且功能强大。不仅有

16、录、放功能,还有快进、擦除、音量控制、直通放音和复位等功能。下图为语音录制与播放电路图44 语音播放电路图2.软件实现显示屏软件的主要功能是向屏体提供显示数据,并产生各种控制信号,使屏幕按设计的要求显示。根据软件分层次设计的原理,可以把显示屏的软件系统分为两层;第一层是底层的显示驱动程序,第二层是上层的系统应用程序。显示驱动程序负责向屏体送显示数据,并负责产生行扫描信号和其他控制信号,配合完成LED显示屏的扫描显示工作。显示驱动器程序由定时器T0中断程序实现。采用系统中断和扫描I/O口的方法完成倒计时与正计时的切换、显示效果处理、声讯的播报与暂停等工作。从有利于实现较快的算法(显示效果处理)和

17、有利于程序结构化考虑,显示屏程序适宜采用汇编语言编写。2.1.1显示驱动程序显示驱动程序在进入中断后首先要对定时器T0重新赋初值,以保证显示屏刷新率的稳定,1/16扫描显示屏的刷新率(帧频)计算公式如下: 刷频率(帧频)=1/16T0溢出率 =1/16f/12(65536-t) 其中f位晶振频率,t为定时器T0初值(工作在16位定时器模式)。然后显示驱动程序查询当前燃亮的行号,从显示缓存区内读取下一行的显示数据,并通过串口发送给移位寄存器。等显示数据打入输出锁存器并锁存,然后再输出新的行号。图5为显示驱动程序(显示屏扫描函数)流程图。 进入中断定时器赋初值显示驱动程序流程图 读取行号并增加1

18、送新行显示数据 切换显示数据 发送新行号,打开显示 退出中断图5 显示驱动程序图 2.1.2系统主程序本文设计的系统软件能使系统在目测条件下LED显示屏各点亮度均匀、充足,可显示图形和文字,显示图形和文字应稳定、清晰无串扰。图形或文字显示有静止、移入移出等显示方式。单元显示屏可以接收来自控制器(主控制电路板)或上一级显示单元模块传输下来的数据信息和命令信息,并可将这些数据信息和命令信息不经任何变化地再传送到下一级显示模块单元中,因此显示板可扩展至更多的显示单元,用于显示更多的显示内容。图6是系统主程序流程图开始 系统主程序流程图系统初始化 主程序 调用子程序 中断系统 I/O口扫描3性能分析与

19、总结3.1.1 调试所用的基本仪器数字万用表、PC机,直流稳压电源,秒表、单片机开发板3.1.2 各部分电路组装与测试 对电路进行抗干扰能力检测。把组装好的电路进行颠簸、轻微碰撞处理,点阵电子显示屏信息显示仍然能够正常显示,亮度适中、连续无闪烁,滚动速度依然稳定。我们实现了点阵电子显示屏的时间、汉字、信息左移、右移等显示、语音控制和声音播报等功能。显示屏亮度连续无闪烁现象,符合制作要求。3.1.3 创新分析1 使用isd1720语音芯片,可以实现任意声音的录制与播放,减少了语音烧烤的麻烦环节。ISD1720的独立按键工作模式录放电路非常简单,而且功能强大。不仅有录、放功能,还有快进、擦除、音量

20、控制、直通放音和复位等功能。2 采用非门与74ls154的结合的方法,提高了扫描效率。3 使用电位器实现最适亮度的调节。4 实现了正反计时切换的时间同步。3.2.1总结 总结本文的研究工作,主要做了下面几点较突出的工作:一 通过查阅大量的相关资料,详细了解了LED的发光原理和LED显示屏的原理,了解了LED的现状,清楚地了解了LED显示屏与其它显示屏相比较有那些优点,明确了研究目标。二 本文设计的LED显示屏能够实现在目测条件下LED显示屏各点亮度均匀、充足,可显示图形和文字,显示图形和文字应稳定、清晰无串扰。图形或文字显示有静止、移入移出等显示方式。三 文章给出了系统具体的硬件设计方案,硬件

21、结构电路图,软件流程图和具体汇编语言程序设计与调试等方面。四 在这次毕业设计的过程中学会了 Proteus 的基本使用,感到Proteus对电子设计专业的同学来说是一门很有用的课程。五 存在缺陷:没有考虑抗干扰的问题。致谢: 本设计是在袁伟老师的悉心指导下完成的。感谢我们的设计指导老师袁伟老师,他以其严谨的学风、渊博的学识及认真的态度详细指导着我们,使我们在这次设计制作的过程中学到了很多的东西。从课题的立项、选题到课题的开发与研究,再到本论文的撰写到定稿的每一步工作都倾注着袁老师的心血和汗水,同时得到同学们相助,并提供了大量的资料。喝水不忘挖井人,我们将铭记大家对我的帮助。在此,对于老师和同学

22、们的帮助表示忠心的感谢。 参考文献1 何立民.单片机应用技术选编.北京航空航天大学出版社,20002 张毅刚等.单片机应用设计.哈尔滨工业电子出版社,19963 邬宽明.单片机外围器件实用手册.北京航空航天大学出版社,19984 张凯.LED介绍完全手册.北京航空航天大学出版社,20005 张友德等.单片微型机原理应用与实验,复旦大学出版社,1996附录A 1664的点阵LED图文显示屏的原理图附录B 汉字报讯屏源程序清单 org 0000h ljmp main org 0003h ljmp INT0_EX0 org 000bh ljmp T0SVR org 0013h ljmp INT1_E

23、X1 org 0030hmain: mov sp,#50h mov p3,#0ffh mov 30h,#0 mov 31h,#0 mov 32h,#10 mov 33h,#0 mov 34h,#0 mov 35h,#0 mov 36h,#0 clr 30h clr 31h clr 32h clr 33h clr 34h clr 35h clr it0 clr it1 mov tmod,#01h mov th0,#0ECh mov tl0,#78h mov r4,#100 mov r5,#0 setb ea setb pt0 setb et0 setb tr0 setb ex0 setb ex1

24、nextal:mov c,35h jnc again1 lcall delay10ms ajmp nextalagain1:mov c,33h jnc again lcall lgpagain:mov c,34h jnc next lcall rgpnext: mov a,34h clr c subb a,#5 jnc l1l2: lcall disp_t ljmp nextl1: mov a,34h clr c subb a,#8 jc l2 lcall disp_x ljmp nextaldisp_x: mov c,32h jc dl3 mov c,31h jc dl2 mov c,30h

25、 jc dl1 mov dptr,#ZHENG1 ajmp dispdl1: mov dptr,#ZHENG2 ajmp dispdl2: mov dptr,#ZHENG3 ajmp dispdl3: mov dptr,#ZHENG4disp: mov r0,#0 mov r1,#0disp1:mov p1,r1 mov a,r0 movc a,a+dptr setb p1.6 mov p0,a inc r0 mov a,r0 movc a,a+dptr setb p1.6 mov p2,a lcall delay1 inc r0 inc r1 mov a,r1 clr c subb a,#6

26、4 jnz disp1 retdelay1: mov r6,#10dl: mov r7,#23 djnz r7,$ djnz r6,dl retdisp_t: mov dptr,#NUM mov r0,#2fh mov r1,#0 mov r2,35hdisp3:inc r0 mov a,r0 rl a rl a rl a rl a mov r3,adisp2:mov p1,r2 mov a,r3 movc a,a+dptr setb p1.6 mov p0,a inc r3 mov a,r3 movc a,a+dptr setb p1.6 mov p2,a lcall delay1 inc

27、r2 inc r3 inc r1 mov a,r1 clr c subb a,#8 jnz disp2 mov r1,#0 mov a,r2 clr c subb a,35h clr c subb a,#40 jnz disp3 retT0SVR:mov tl0,#78h mov th0,#0ECh djnz r4,quit mov r4,#100 inc 34h inc 36h mov a,34h clr c subb a,#9 jnz quit mov 34h,#0 inc 33h mov a,33h clr c subb a,#6 jnz quit mov 33h,#0 inc 31h

28、setb 30h mov a,31h clr c subb a,#10 jnz quit mov 31h,#0 inc 30h mov a,30h clr c subb a,#3 jnz lp1 setb 31hlp1: mov a,30h clr c subb a,#6 jnz quit setb 32hquit: retiINT0_EX0: lcall delay10ms mov a,p3 orl a,#0fch cpl a jz back mov c,p3.0 jc rgpi cpl 33h ajmp backrgpi: cpl 34h back: mov a,p3 orl a,#0fc

29、h cpl a jnz back retidelay10ms: mov r7,#10d1: mov r6,#150 djnz r6,$ djnz r7,d1 retlgp: mov 36h,#10lgp2: lcall disp_t dec 36h mov a,36h jnz lgp2 mov c,33h jnc lback inc 35h mov a,35h clr c subb a,#24 jnz lgp mov 35h,#0 ajmp lgplback: mov 35h,#0 ret rgp: mov 35h,#25rgp2: mov 36h,#10rgp1: lcall disp_t

30、dec 36h mov a,36h jnz rgp1 mov c,34h jnc rback dec 35h mov a,35h jnz rgp2 ajmp rgp rback: mov 35h,#0 retINT1_EX1: lcall delay10ms mov a,p3 orl a,#3fh cpl a jz back1 mov c,p3.6 jc qping cpl tr0 ajmp back1qping: cpl 35h back1: mov a,p3 orl a,#3fh cpl a jnz back1 reti ZHENG1: DB 20H,10H,20H,08H,24H,04H

31、,24H,02H; DB 24H,01H,24H,0DH,0BFH,0BH,64H,09H; DB 24H,49H,34H,89H,28H,49H,24H,39H; DB 22H,01H,20H,00H,20H,00H,00H,00H;考,0 DB 40H,00H,40H,00H,42H,00H,0CCH,3FH; DB 00H,10H,90H,28H,90H,60H,90H,3FH; DB 90H,10H,90H,10H,0FFH,01H,10H,0EH; DB 11H,30H,16H,40H,10H,0F0H,00H,00H;试,1 DB 80H,00H,82H,80H,82H,40H,8

32、2H,30H; DB 0FEH,0FH,82H,00H,82H,00H,82H,00H; DB 82H,00H,82H,00H,0FEH,0FFH,82H,00H; DB 82H,00H,82H,00H,80H,00H,00H,00H;开,2 DB 10H,40H,10H,22H,0F0H,15H,1FH,08H; DB 10H,16H,0F0H,21H,00H,00H,40H,00H; DB 0E0H,0FEH,58H, 42H,47H,42H,40H,42H; DB 50H,42H,60H,0FEH,0C0H,00H,00H,00H;始,3ZHENG2:DB 20H, 01H, 10H,

33、01H, 8CH, 00H, 87H, 0FCH;DB 4CH, 45H, 54H, 45H, 24H, 45H, 14H, 45H;DB 28H, 45H, 47H, 45H, 44H, 45H, 8CH, 0FCH;DB 94H, 00H, 04H, 01H, 04H, 01H, 00H, 00H;答,0DB 40H, 00H, 40H, 00H, 42H, 00H, 0CCH, 3FH;DB 00H, 10H, 90H, 28H, 90H, 60H, 90H, 3FH;DB 90H, 10H, 90H, 10H, 0FFH, 01H, 10H, 0EH;DB 11H, 30H, 16H,

34、 40H, 10H, 0F0H, 00H, 00H;试,1DB 00H, 04H, 40H, 04H, 48H, 02H, 4AH, 01H;DB 0CCH, 7EH, 48H, 82H, 78H, 82H, 4FH, 82H;DB 48H, 92H, 48H, 0A2H, 0CCH, 9EH, 4AH, 81H;DB 48H, 0F2H, 40H, 04H, 00H, 04H, 00H, 00H;卷,2DB 80H, 00H, 80H, 00H, 80H, 00H, 80H, 00H;DB 80H, 00H, 80H, 00H, 80H, 00H, 80H, 00H;DB 80H, 00H,

35、 80H, 00H, 80H, 00H, 80H, 00H;DB 80H, 00H, 80H, 00H, 80H, 00H, 00H, 00H;一,3 ZHENG3:DB 20H, 01H, 10H, 01H, 8CH, 00H, 87H, 0FCH;DB 4CH, 45H, 54H, 45H, 24H, 45H, 14H, 45H;DB 28H, 45H, 47H, 45H, 44H, 45H, 8CH, 0FCH;DB 94H, 00H, 04H, 01H, 04H, 01H, 00H, 00H;答,0DB 40H, 00H, 40H, 00H, 42H, 00H, 0CCH, 3FH;D

36、B 00H, 10H, 90H, 28H, 90H, 60H, 90H, 3FH;DB 90H, 10H, 90H, 10H, 0FFH, 01H, 10H, 0EH;DB 11H, 30H, 16H, 40H, 10H, 0F0H, 00H, 00H;试,1DB 00H, 04H, 40H, 04H, 48H, 02H, 4AH, 01H;DB 0CCH, 7EH, 48H, 82H, 78H, 82H, 4FH, 82H;DB 48H, 92H, 48H, 0A2H, 0CCH, 9EH, 4AH, 81H;DB 48H, 0F2H, 40H, 04H, 00H, 04H, 00H, 00

37、H;卷,2DB 00H, 10H, 00H, 10H, 08H, 10H, 08H, 10H;DB 08H, 10H, 08H, 10H, 08H, 10H, 08H, 10H;DB 08H, 10H, 08H, 10H, 08H, 10H, 08H, 10H;DB 08H, 10H, 00H, 10H, 00H, 10H, 00H, 00H;二,3 ZHENG4:DB 20H, 10H, 20H, 08H, 24H, 04H, 24H, 02H;DB 24H, 01H, 24H, 0DH, 0BFH, 0BH, 64H, 09H;DB 24H, 49H, 34H, 89H, 28H, 49H

38、, 24H, 39H;DB 22H, 01H, 20H, 00H, 20H, 00H, 00H, 00H;考,0DB 40H, 00H, 40H, 00H, 42H, 00H, 0CCH, 3FH;DB 00H, 10H, 90H, 28H, 90H, 60H, 90H, 3FH;DB 90H, 10H, 90H, 10H, 0FFH, 01H, 10H, 0EH;DB 11H, 30H, 16H, 40H, 10H, 0F0H, 00H, 00H;试,1DB 20H, 22H, 30H, 67H, 0ACH, 22H, 63H, 12H;DB 20H, 12H, 18H, 12H, 08H,

39、 00H, 48H, 0FEH;DB 48H, 42H, 48H, 42H, 7FH, 42H, 48H, 42H;DB 48H, 42H, 48H, 0FEH, 08H, 00H, 00H, 00H;结,2DB 04H, 40H, 04H, 40H, 0E4H, 27H, 24H, 22H;DB 24H, 12H, 24H, 0AH, 24H, 06H, 0FFH, 0FFH;DB 24H, 06H, 24H, 0AH, 24H, 12H, 24H, 22H;DB 0E4H, 27H, 04H, 40H, 04H, 40H, 00H, 00H;束,3NUM:DB 00H,00H,0E0H,0

40、FH,10H,10H,08H,20H;DB 08H,20H,10H,10H,0E0H,0FH,00H,00H;0,0DB 00H,00H,10H,20H,10H,20H,0F8H,3FH;DB 00H,20H,00H,20H,00H,00H,00H,00H;1,1DB 00H,00H,70H,30H,08H,28H,08H,24H;DB 08H,22H,88H,21H,70H,30H,00H,00H;2,2DB 00H,00H,30H,18H,08H,20H,88H,20H;DB 88H,20H,48H,11H,30H,0EH,00H,00H;3,3DB 00H,00H,00H,07H,0C0H,04H,20H,24H;DB 10H,24H,0F8H,3FH,00H,24H,00H,00H;4,4DB 00H,00H,0F8H,19H,08H,21H,88H,20H;DB 88H,20H,08H,11H,08H,0EH,00H,00H;5,5DB 00H,00H,0E0H,0FH,10H,11H,88H,20H;DB 88H,20H,18H,11H,00H,0EH,00H,00H;6,6DB 00H,00H,38H,00H,08H,00H,08H,3FH;D

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1