SOPC、EDA综合课程设计报告车载DVD位控系统的设计与分析.doc

上传人:土8路 文档编号:11062989 上传时间:2021-06-24 格式:DOC 页数:23 大小:464.50KB
返回 下载 相关 举报
SOPC、EDA综合课程设计报告车载DVD位控系统的设计与分析.doc_第1页
第1页 / 共23页
SOPC、EDA综合课程设计报告车载DVD位控系统的设计与分析.doc_第2页
第2页 / 共23页
SOPC、EDA综合课程设计报告车载DVD位控系统的设计与分析.doc_第3页
第3页 / 共23页
SOPC、EDA综合课程设计报告车载DVD位控系统的设计与分析.doc_第4页
第4页 / 共23页
SOPC、EDA综合课程设计报告车载DVD位控系统的设计与分析.doc_第5页
第5页 / 共23页
点击查看更多>>
资源描述

《SOPC、EDA综合课程设计报告车载DVD位控系统的设计与分析.doc》由会员分享,可在线阅读,更多相关《SOPC、EDA综合课程设计报告车载DVD位控系统的设计与分析.doc(23页珍藏版)》请在三一文库上搜索。

1、江西理工大学应用科学学院SOPC/EDA综合课程设计报告设计题目:车载DVD位控系统的设计设 计 者: 学 号: 08060108237班 级: 电气082指导老师: 完成时间: 2011年1月14日设计报告综合测试总评格式(10)内容(40)图表(10)答辩(20)平时(20) 前言EDA技术作为现代电子设计最新技术的结晶,其广阔的应用前景和深远的影响已毋庸置疑,它在信息工程类专业中的基础地位和核心作用也逐渐被人们所认识。许多高等学校开设了相应的课程,并为学生提供了课程设计、综合实践、电子设计竞赛、毕业设计、科学研究和产品开发等EDA技术的综合应用实践环节。相关的工程技术人员也特别重视学习E

2、DA技术,并渴望提高其工程应用能力。 对于迅猛发展的EDA技术的综合应用,从EDA技术的综合应用系统的深度来分,可分为3个层次: 功能电路模块的设计; 算法实现电路模块的设计; 片上系统/嵌入式系统/现代DSP系统的设计。 从EDA技术的综合应用系统的最终主要硬件构成来分,已出现6种形式: CPLD/FPGA系统; CPLD/FPGA+MCU系统; CPLD/FPGA+专用DSP处理器系统; 基于FPGA实现的现代DSP系统; 基于FPGA实现的SOC片上系统; 基于FPGA实现的嵌入式系统。 从EDA技术的综合应用系统的完善层次来分,可分为3个层次:EDA综合系统主体电路的设计、仿真及硬件验

3、证;EDA综合系统主体电路的设计、仿真、硬件验证+系统外围电路PCB的设计与制作;EDA综合系统主体电路的设计、仿真、硬件验证+系统整体电路PCB的设计与制作及系统的组装、调试。 对于EDA技术的学习,我认为不能只站在一门课程的角度上去学习,而应站在如何真正掌握这一技术的角度去学习。对于具备一定EDA技术基础的人来说,如何提高自己的实际应用能力,将EDA技术应用到各自的专业领域,解决实际问题,这才是他们所关心和急于解决的。提高自己的EDA技术的综合应用能力,不可能一蹴而就,而应不断实践,不断总结。提高EDA技术综合应用能力的比较快速而有效的办法就是按照阅读借鉴消化吸收改进创新的步骤去不断学习和

4、实践。所谓阅读借鉴,就是通过阅读许多实际设计实例来借鉴别人的设计思想;所谓消化吸收,就是通过反复阅读许多实际设计实例,在真正看懂别人的设计思想的基础上自己进行模仿实践,领会其设计思想的实质;所谓改进创新,就是在模仿实践的基础上,根据自己的领会提出改进的方案或独立地提出新的设计方案,不断地实践与完善,直到达到理想的设计要求为止。 作为三步学习实践法的起点,就是通过阅读许多实际设计实例来借鉴别人的设计思想。而作为现代电子设计最新技术的综合体现的EDA技术,由于在我国进行教育和研究只有几年的历史,因此有关EDA技术综合应用的书籍和资料太少,即使有也是零星的、分散的,一些与实践有关的问题,往往是点到为

5、止,可操作性比较差。因此,EDA技术的深化教育和EDA技术的广泛应用,亟需EDA技术的综合应用方面的图书。 本次设计报告,内容非常的有限,仅供我们在以后的学习中参考!目 录一、系统设计要求: 3二、系统设计方案: 5三、主要VHDL源程序: 10四、系统仿真: 20五、设计技巧分析:22 六、设计心得22 七、参考文献22一、 系统设计要求 根据某车载移动DVD产品机械操作分析结果的要求,具体设计如下:1.OPEN_CLOSE操作:当系统测试到有一个OPEN_CLOSE高电平信号时,系统就驱动马达1(MOTOR11端),显示屏从机盒内伸出,距离为142 mm,时间为3 s。水平移动到指定位置后

6、,关断马达信号MOTOR11。2.自测向上翻转操作(此操作只在正常开机或关机时使用):开机时显示屏从机内伸出,到达指定位置,从传感器SENSOR1返回一信号,则开通信号MOTOR21,马达运行时间为3 s,向上翻转110,从传感器SENSOR2返回的信号表明到达指定位置,切断MOTOR21信号。开机过程完成。3.关机操作:当OPEN_CLOSE为低电平时,系统通过相应的处理模块检测到显示屏所在位置,然后做出相应对策。向下翻转至水平位置后,水平缩进机内。4.角度调整与水平位置调整操作(TILT调节操作):如果TILT信号为高电平,系统先测试其为高电平的时间宽度。若为2 s时,则调节水平位置,共三

7、个位置,相邻位置间隔为1.5 cm;若小于2 s时,则调节角度,共三个角度调节位置,相邻角度大小为15。 5.异常情况处理(异常情况就是在某一运行过程,由于人为地阻止显示屏的正常移动,从而造成长时间的马达过载异常):由实际情况进行判断,如果是异常情况,则关断马达信号并报警出错;等待OPEN_CLOSE低电平的到来,然后返回机盒内。 6.位置识别、异常情况判断、状态显示、时间显示:在系统对马达进行驱动控制时,都要进行位置识别、异常情况判断,并把检测到的信号通过状态显示模块处理输出。在每一运行过程,输出模块均把此时的时间显示出,最小时间单位为1 s。根据以上各种操作及要求,我们可得到各种操作的状态

8、转换图如图1所示,系统的有关控制时序如图2和图3所示。图1 各种操作的状态转换图 图2 行走(142 mm3 s)与翻转(1203 s)控制时序图 图3 角度调整和水平位置调整控制时序图图2和图3中的信号说明如下: OPEN/CLOSE:外部开关操作输入信号;TILT:角度调整和水平位置调整信号输入端;P00/ IC2.6,P01/IC2.5,P02/IC1.6,P03/IC1.5:驱动信号,高电平有效;M1.1,M1.2:行走马达控制信号,高电平有效,电压为9 V;M2.1,M2.2:翻转马达控制信号,高电平有效,电压为9 V;Sensor1,Sensor2:分别为行走马达和翻转马达的返回电

9、压信号,系模拟信号。 二、 系统设计方案1.系统的总体结构设计根据系统的设计要求,我们可得到移动DVD位控系统DVDWKXT的输入和输出接口如图10.4所示。图中的信号说明如下:CLKIN:外部时钟端输入,8 MHz晶振;OPEN_CLOSE:外部开关操作输入电平信号;TILT:外部输入位置调节信号;DATA:8位传感器返回数据;ADCABC00:传感器通道选择信号输出;ADCCLK:模拟转换器的时钟信号;EOC, OP, READ, WRITE:ADC0809的控制信号;MOTOR11_OUT, MOTOR12_OUT, MOTOR21_OUT, MOTOR22_OUT:驱动马达控制信号;D

10、S_TIME,DS_UNIT:时间及单位输出信号;ERROR00,GOOD00:异常,正常输出信号;V_POS1, V_POS2, V_POS3:水平位置输出信号;H_POS1, H_POS2, H_POS3:角度位置输出信号。 根据实际要求,上面的各个信号输出为TTL标准电平,输出电流约为510 mA。图4 DVDWKXT的输入和输出接口图经过对系统的设计要求进行分析,我们可将整个系统分为7个模块:分频模块FINI、OPEN_CLOSE操作模块OPEN_OP、TILT操作模块TILT_OP、位置判断模块POS_DIFF、异常判断及处理模块ABN_DIFF、判断输出模块OUTPUT、时间及位置

11、显示模块DISPLAY。整个系统的组成框图如图5(略)(该图大家可以根据所给模块程序自行画出)所示。 2.系统内各模块的设计分频模块FINI:其功能为对外部输入时钟进行分频,得到周期为1.5 ms计数器,并根据反馈信号TIME_S对计时器进行清零。其输入输出接口如图6所示,图中的CLKIN为外部时钟输入,TIME_S为定时器清零信号。 图6 分频模块FINI输入输出接口图OPEN_CLOSE操作模块OPEN_OP:其功能为根据反馈的数据和相关的信号,做出具体的马达控制输出。其输入输出接口如图7所示,图中的信号TILT_BCD、TILT_FGH为从TILT模块返回的控制信号,TIME_S是内部计

12、时器的清零信号,ERROR0为异常警告信号。图10.7 OPEN_CLOSE操作模块OPEN_OP输入输出接口图TILT操作模块TILT_OP:其功能为根据反馈的数据和相应的信号,做出具体的马达控制输出。其输入输出接口如图8所示,图中的信号TILT_BCD0、TILT_FGH0为小范围内调整控制信号,ADCABCP5是ADC0809通道选通信号。图8 TILT操作模块TILT_OP输入输出接口图位置判断模块POS_DIFF:其功能为对每个周期内的移动显示屏的位置进行判断,并把信号输出。其输入输出接口如图9所示,图中的VPA、VPB、VPC、VPD、HPE、HPF、HPG、HPH、VPAB、VP

13、BC、VPCD、HPEF、HPFG、HPGH为输出位信号。 异常判断及处理模块ABN_DIFF:其功能为在每一个周期内对系统的运行异常情况判断,并把信号输出。针对不同的位置,在相应的操作里,设定的时间内,如没有到达规定的位置,则判断为异常,并关断马达的运行。其输入输出接口如图10所示。图9 位置判断模块POS_DIFF输入输出接口图图10 异常判断及处理模块ABN_DIFF输输出接口图判断输出模块OUTPUT:其功能为根据以上模块的各判断输出信号,进行再次判断,并输出到外部。由以上模块进行操作,得到不同的信号,从而进行输出控制;主要是针对模拟通道的选择,以及对马达1和马达2的控制。其输入输出接

14、口如图11所示。 图11 判断输出模块OUTPUT输入输出接口图时间及位置显示模块DISPLAY:其功能为对外显示每一移动过程所用时间。其输入输出接口如图12所示,图中的DS_TIME、DS_UNIT为输出时间及时间单位。由于输出为TTL标准电平,因此外部需要附加七段码驱动芯片,本设计拟用74ALS244。图12 时间及位置显示模块DISPLAY输入输出接口图三、主要VHDL源程序- DVDWKXT.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGN

15、ED.ALL; -定义一个实体 ENTITY DVDWKXT IS PORT(CLKIN: IN STD_LOGIC;-外部时钟输入端 OPEN_CLOSE,TILT: IN STD_LOGIC; DATA: IN STD_LOGIC_VECTOR(7 DOWNTO 0); -传感器返回位置数据 ADCABC00: OUT STD_LOGIC_VECTOR(2 DOWNTO 0); -选择模拟转换通道 ADCCLK: OUT STD_LOGIC;EOC,READ,WRITE,OP: OUT STD_LOGIC;-ADC0809控制端 MOTOR11_OUT,MOTOR12_OUT: OUT S

16、TD_LOGIC;-马达TTL电平控制端 MOTOR21_OUT,MOTOR22_OUT: OUT STD_LOGIC; -马达TTL电平控制端 DS_TIME,DS_UNIT: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); -显示时间 ERROR00,GOOD00: OUT STD_LOGIC; -异常情况输出端 V_POS1,V_POS2,V_POS3: OUT STD_LOGIC;-水平位置信号 H_POS1,H_POS2,H_POS3: OUT STD_LOGIC);-翻转角度信号 END ENTITY DVDWKXT;ARCHITECTURE ART OF DV

17、DWKXT IS SIGNAL COUNT: STD_LOGIC_VECTOR(16 DOWNTO 0);-分频 SIGNAL TIME00: STD_LOGIC_VECTOR(12 DOWNTO 0);-计数器 SIGNAL V_POSTIONP2,H_POSTIONP2: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL V_POSTIONP3,H_POSTIONP3: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL V_POSTIONP4,H_POSTIONP4: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL

18、V_POSTIONP5,H_POSTIONP5: STD_LOGIC_VECTOR(7 DOWNTO 0); -上面四个语句均为位置寄存器 SIGNAL ADIVIDER,TIME_S: STD_LOGIC; SIGNAL MOTOR11P2,MOTOR12P2,MOTOR21P2,MOTOR22P2: STD_LOGIC; SIGNAL MOTOR11P5,MOTOR12P5,MOTOR21P5,MOTOR22P5: STD_LOGIC; SIGNAL MOTOR11P4,MOTOR12P4,MOTOR21P4,MOTOR22P4: STD_LOGIC; SIGNAL ERROR0,GOOD

19、0: STD_LOGIC; - 异常情况寄存器 SIGNAL ADCABCP2,ADCABCP5:STD_LOGIC_VECTOR(2 DOWNTO 0); -通道选择寄存器 SIGNAL VPA,VPB,VPC,VPD: STD_LOGIC;-水平位置信号标志 SIGNAL HPE,HPF,HPG,HPH: STD_LOGIC;-角度位置标志 SIGNAL VPAB,VPBC,VPCD: STD_LOGIC;SIGNAL HPEF,HPFG,HPGH: STD_LOGIC; SIGNAL TILT_BCD,TILT_FGH: STD_LOGIC;-TILT信号判断标志 SIGNAL VC_V

20、D,VD_VB,VB_VC: STD_LOGIC;-位置常量标志 SIGNAL HF_HG,HG_HH,HH_HF: STD_LOGIC;-位置常量标志CONSTANT VA: INTEGER :=20; CONSTANT VB: INTEGER :=180; CONSTANT VC: INTEGER :=210; CONSTANT VD: INTEGER :=240; CONSTANT HE: INTEGER :=20; CONSTANT HF: INTEGER :=180; CONSTANT HG: INTEGER :=210; CONSTANT HH: INTEGER :=240;BEG

21、IN -程序初始化 EOC=ADIVIDER; READ=NOT(ADIVIDER); WRITE=NOT(ADIVIDER); OP=NOT(ADIVIDER); ADCCLK=NOT(ADIVIDER); ERROR00=ERROR0; GOOD00=GOOD0; -DIVIDER FREQUENCY 分频子进程 P1:PROCESS(CLKIN) ISBEGIN IF(CLKINEVENT AND CLKIN=1)THEN COUNT=COUNT+1; END IF; IF(COUNT=11111111111111111)THEN ADIVIDER=1; ELSE ADIVIDER=0;

22、 END IF; IF(TIME_S=1)THEN IF(ADIVIDER=1)THEN TIME00=TIME00+1; END IF; ELSIF(TIME_S=0)THEN -对计数器清零 TIME00=0000000000000; END IF; END PROCESS P1; -执行OPEN_CLOSE操作 P2:PROCESS(ADIVIDER,OPEN_CLOSE,ERROR0,TILT) ISVARIABLE V_POSTION2,H_POSTION2:INTEGER RANGE 256 DOWNTO 1; BEGIN IF (OPEN_CLOSE=1 AND ERROR0=0

23、 AND TILT_BCD=0 AND TILT_FGH=0 AND GOOD0=0)THEN -正常情况下出盒 ADCABCP2=000; V_POSTIONP2=VA AND V_POSTION2VB AND ADCABCP2=000) THENMOTOR11P2=VB AND ADCABCP2=000)THEN MOTOR11P2=0; ADCABCP2=001; TIME_S=0; H_POSTIONP2=HE AND H_POSTION2HF AND ADCABCP2=001)THEN MOTOR21P2=HF AND ADCABCP2=001)THEN MOTOR21P2=0; T

24、IME_S=0; GOOD0=1; END IF; -出盒操作完成 END IF;IF(OPEN_CLOSE=0 AND ERROR0=0 AND TILT_BCD=0 AND TILT_FGH=0 AND GOOD0=1)THEN-回盒条件成立 ADCABCP2=001; H_POSTIONP2HE AND H_POSTION2=HH AND ADCABCP2=001)THEN MOTOR22P2=1; END IF ;IF(H_POSTION2=HE AND ADCABCP2=001)THEN -向下翻转 MOTOR22P2=0; TIME_S=0; ADCABCP2=000; V_POS

25、TIONP2VA AND V_POSTION2=VD AND ADCABCP2=000)THEN MOTOR12P2=1; -水平回盒 END IF; IF(V_POSTION2=VA AND ADCABCP2=000)THEN MOTOR12P2=0; TIME_S=0; GOOD0=0; END IF ; END IF ; END PROCESS P2;P3:PROCESS(ADIVIDER) IS VARIABLE V_POSTION3,H_POSTION3:INTEGER RANGE 256 DOWNTO 1; BEGIN IF(ADCABCP2=000 OR ADCABCP5=000

26、)THEN V_POSTIONP3=DATA; V_POSTION3:=CONV_INTEGER(V_POSTIONP3); IF(V_POSTION3=VA)THEN -在盒内状态 VPA=1; VPB=0; VPC=0; VPD=0; VPAB=0; VPBC=0; VPCDVA AND V_POSTION3VB)THEN -出盒中间位置 VPA=0; VPB=0; VPC=0; VPD=0; VPAB=1; VPBC=0; VPCD=0; ELSIF(V_POSTION3=VB)THEN -水平位置B VPA=0; VPB=1; VPC=0; VPD=0; VPAB=0; VPBC=0;

27、 VPCDVB AND V_POSTION3VC)THEN -水平位置BC之间 VPA=0; VPB=0; VPC=0; VPD=0; VPAB=0; VPBC=1; VPCD=0; ELSIF(V_POSTION3=VC)THEN -水平位置C VPA=0; VPB=0; VPC=1; VPD=0; VPAB=0; VPBC=0; VPCDVC AND V_POSTION3VD)THEN -水平位置CD之间 VPA=0; VPB=0; VPC=0; VPD=0; VPAB=0; VPBC=0; VPCD=VD)THEN -水平位置D VPA=0; VPB=0; VPC=0; VPD=1; V

28、PAB=0; VPBC=0; VPCD=0; END IF; END IF ;IF(ADCABCP2=001 OR ADCABCP5=001)THEN H_POSTIONP3=DATA ; H_POSTION3:=CONV_INTEGER(H_POSTIONP3); IF(H_POSTION3=HE)THEN -翻转位置E HPE=1; HPF=0; HPG=0; HPH=0; HPEF=0; HPFG=0; HPGHHE AND H_POSTION3HF)THEN -角度位置E、F之间 HPE=0; HPF=0; HPG=0; HPH=0; HPEF=1; HPFG=0; HPGH=0; E

29、LSIF(H_POSTION3=HF)THEN -角度位置F HPE=0; HPF=1; HPG=0; HPH=0; HPEF=0; HPFG=0; HPGHHF AND H_POSTION3HG)THEN -角度位置F、H之间 HPE=0; HPF=0; HPG=0; HPH=0; HPEF=0; HPFG=1; HPGH=0; ELSIF(H_POSTION3=HG)THEN -角度位置H HPE=0; HPF=0; HPG=1; HPH=0; HPEF=0; HPFG=0; HPGHHG AND H_POSTION3HH)THEN -角度位置G、H之间 HPE=0; HPF=0; HPG

30、=0; HPH=0; HPEF=0; HPFG=0; HPGH=1; ELSIF(H_POSTION3=HH)THEN -角度位置H HPE=0; HPF=0; HPG=0; HPH=1; HPEF=0; HPFG=0; HPGH=0; END IF; END IF; END PROCESS P3;-异常判断子程序 P4:PROCESS(ADIVIDER) IS VARIABLE V_POSTION4,H_POSTION4:INTEGER RANGE 256 DOWNTO 1; BEGIN IF(ADCABCP2=000 OR ADCABCP5=000) THEN -水平位置 V_POSTIO

31、NP4=4000 AND (V_POSTION4VA AND V_POSTION4VB)THEN ERROR0=1; -出盒或进盒过程中出错 MOTOR11P4=0; MOTOR12P4=2666 AND (VPB=0 AND VPC=0 AND VPD=0)THEN ERROR0=1; -水平位置调节时出错 MOTOR11P4=0; MOTOR12P4=0; END IF; END IF; IF(ADCABCP2=001 OR ADCABCP5=001)THEN H_POSTIONP4=4000 AND (H_POSTION4HE AND H_POSTION4HF)THEN ERROR0=1

32、; -大角度翻转时出错 MOTOR21P4=0; MOTOR22P4=2666) AND (HPF=0 AND HPG=0 AND HPH=0)THEN ERROR0=1; -角度调节时出错 MOTOR21P4=0; MOTOR22P4=4000)THEN ERROR0=2666 )THEN -是否是位置调节 ADCABCP5=000; V_POSTIONP5=DATA ; V_POSTION5:=CONV_INTEGER(V_POSTIONP5); TILT_BCD=1;ELSIF(TILT=1 AND CONV_INTEGER(TIME00)2666)THEN -是否是角度调节 ADCABCP5=001; H_POSTIONP5=DATA; H_POSTION5:=CONV_INTEGER(H_POSTIONP5); TILT_FGH=1; END IF;IF(TILT=0)THEN IF(ADCABCP5=000 AND TILT_BCD=1)THEN -判断方向 IF(VPC=1)THEN -从位置C到位置D VC_VD=1; END IF; IF(VPD=1)THEN -从位置D到位置C VD_VB=1; END IF; IF(VPB=1)THEN -从位置B到位置C VB_VC=VC AND V_POSTION5VD)THEN

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1