毕业设计(论文)-任意波形发生器设计.doc

上传人:scccc 文档编号:11183798 上传时间:2021-07-10 格式:DOC 页数:24 大小:603KB
返回 下载 相关 举报
毕业设计(论文)-任意波形发生器设计.doc_第1页
第1页 / 共24页
毕业设计(论文)-任意波形发生器设计.doc_第2页
第2页 / 共24页
毕业设计(论文)-任意波形发生器设计.doc_第3页
第3页 / 共24页
毕业设计(论文)-任意波形发生器设计.doc_第4页
第4页 / 共24页
毕业设计(论文)-任意波形发生器设计.doc_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《毕业设计(论文)-任意波形发生器设计.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)-任意波形发生器设计.doc(24页珍藏版)》请在三一文库上搜索。

1、学号:14122502243毕业设计题 目:任意波形发生器设计作 者届 别2016院 部物理与电子学院专 业电子科学与技术指导老师职 称副教授完成时间2016.052毕业设计 摘 要任意波形发生器(Arbitrary Waveform Generator,AWG)作为一种多波型的信号发生器,它不仅可以产生锯齿波、正弦波等常规波形,而且还能表现出载波调制的多样化特点,使波形发生调幅、调相、调频和脉冲调制等。甚至能利用计算机软件实现波形的编辑,生成用户所需要的任意波形。任意波形发生器广泛应用于自动控制、电子电路和科学试验领域,是一款给电子测量工作提供符合技术要求的电信号设备。因此在各个领域都得到迅

2、猛的发展。本论文设计一款任意波形发生器,该系统由输入模块、FPGA模块、DAC数模转换模块、显示模块4个部分组成。该设计将虚拟化的仪器技术、串行总线接口技术和直接数字频率合成技术完美地结合在一起,以现场可编程门阵列(FPGA)作为硬件基础,然后再通过逻辑设计、系统软件设计和系统硬件电路设计,实现了一款基于直接数字频率合成技术的低成本、便携式、可扩展的可立即使用的任意波形发生器。关键词:数字频率合成器;verilog;FPGA;仿真IAbstract Arbitrary waveform generator (Arbitrary Waveform Generator,AWG) is a mult

3、i wave signal generator. It can not only generates a sawtooth wave, sine wave and so on conventional waveform and the diversification of the modulated carrier, so that the waveform occurrence amplitude modulation, phase modulation, frequency modulation and pulse modulation. Can even use computer sof

4、tware to realize the waveform of the editor, the user needs to generate arbitrary waveform. Arbitrary waveform generator is widely used in the field of automatic control, electronic circuit and scientific experiment. It is an electrical signal equipment which meets the technical requirements for ele

5、ctronic measurement.This paper designs an arbitrary waveform generator, which is composed of 4 parts, input module, FPGA module, DAC module and display module. The design the virtual instrument technology, serial bus interface technology and direct digital frequency synthesis technology perfect comb

6、ination together, convertible to field programmable gate array (FPGA) as the basis of hardware, and then through the logic design, system software design and the hardware circuit design, and the implementation of a arbitrary waveform generator based on direct digital frequency synthesis technology o

7、f low cost, portable, scalable and can be immediately used.Keywords: Digital frequency synthesizer; Verilog; FPGA;SimulationII目 录摘 要IAbstractII第一章绪 论11.1引言11.2 任意波形发生器国内外发展现状11.3 问题的提出21.4 主要研究工作及内容安排2第二章 直接数字频率合成器原理及性能分析32.1 直接数字频率合成技术的基本原理32.2 DDS技术的性能分析4第三章 FPGA设计流程63.1 FPGA简介63.2 FPGA的优点63.3 FPG

8、A的设计结构7第四章 针对任意波形发生器的系统设计94.1 系统设计94.2 FPGA的模块划分94.2.1 时钟模块104.2.2 D/A转换模块11 4.2.3 48位寄存器设计114.2.4 地址发生器模块12 4.2.5 波形数据存储器设计134.2.6 任意波形输出模块144.3 任意波形发生器的FPGA实现14第五章 总结与展望16参考文献17致 谢18附 录19III第一章绪 论1.1引言波形发生器是我们生活中一种十分常见的电子测试仪器,能够为待测电路形成需要的数据信号,不仅具有精度很高、很好的稳定性、操作很简单等众多优点,而且还能对波形、波形的频率、波形的幅值和波形图的状态控制

9、,甚至被用来虚拟出各种各样复杂而繁琐的信号。随着通信、雷达的不断发展,对信号源的频率稳定度、频谱纯度、频率范围和输出频率的个数以及信号波形的形状也提出越来越多的要求。不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等。可见,研究制作高性能的任意波形发生器十分有必要,而且意义重大。伴随着经济技术的飞速发展,电子科学领域的不断进步,开发具有高性能的、达到人们所需要的波形发生器受到了电子科学界的极大关注。传统的信号发生器在某些特殊的情况已经不再能满足人们的需求,主要

10、是因为在大多数的研究领域中,不仅要求一些规则的信号,同时还要求一些不规则信号来应用于特殊的系统研究,因此,人们对所需激励源的测试要求不断提高,尤其是对于波形发生器输出波形的类型、波形的频率范围、频率精度以及频率的稳定度都提出了更高的要求。现在市面上的波形发生器大都采用了DDS(Direct Digital Synthesizer,直接数字式频率合成器)技术,这种技术由美国学者 J.Tierney、C.M.Rader和B.Gold于1971年首次提出。但是由于DDS芯片内部的数据结构等都是固定的,不容易改变,使得输出波形的种类有限,系统的可配置性和灵活性也被受到了限制,而且功耗还是比较大、成本也

11、比较高。后来,伴随着现场可编程门阵列FPGA技术的日益发展,越来越多的人开始关注利用FPGA技术来完成波形发生器的设计。1.2 任意波形发生器国内外发展现状由于国外对波形发生器的研究相对于国内来说起步比较早,它们的产品无论在技术上,还是在市场占有率上都处于有利领先的地位,为了打破国外波形发生器的垄断的格面,并缩小我过与国外在这方面技术上的差距。我国在20世纪90年代便开始自主研发生产波形发生器,并取得了较好的成绩,较突出的有北京的RIGOL公司,但是其生产的产品在种类、功能和性能等方面仍然与国外存在着一定的差距,而且高端产品的价格十分昂贵,都在十几万元左右,低端一点的都要几千元。因此,继续把重

12、点放在波形发生器相关技术的研究工作上,研制具备低成本、高性能的波形发生器将会对我国电子技术的发展和国际影响力都具有极大的促进和推动作用,具有非常广阔的发展前景。从任意波形发生器的历史发展到至今,根据产品的结构形式可将其分为三种:(1) 独立仪器结构形式;(2)PC总线式;(3)VXI模块式。1.3 问题的提出由于现场可编程门阵列FPGA的应用领域非常广泛。在数据采集领域,通常的实现方法是利用A/D转换器将模拟信号转换为数字信号之后,再送给处理器。但是对于高速的A/D和D/A转换芯片来说,FPGA可以完成数据采集的粘合逻辑功能。在逻辑接口领域中,传统的设计大多都需要专用的接口芯片,如果需要的接口

13、较多,那么将需要较多的外围芯片,体积、功耗都很大。但采用FPGA的方案后,接口逻辑都可以在FPGA内部来实现,使电路的设计结构更加美观,在很大的程度上简化了外围电路的设计。因此,利用现场可编程门阵列FPGA和D/A转换芯片来搭建波形发生模块,会使得设计更加灵活,输出波形更加能够适应实践的需求。此外,FPGA芯片支持在线可编程,能随时根据设计需求,对系统进行在线升级,达到符合要求的最佳设计。本设计的设计目标是根据任意波形发生器的特点以及应用情况设计出一种使用简单、性能优良的一款任意波形发生器,再结合新一代的高性能芯片,该器件能够产生三角波、正弦波、方波等任意常用的波形信号,甚至能够根据用户的需要

14、生成任意波形。该论文主要是研究基于FPGA技术下的如何确定任意波形发生器的设计方案,设计模块以及设计结构和系统设计,该如何解决实际操作的过程出现的波形失真等问题。1.4 主要研究工作及内容安排第一章写绪论,阐述了课题的主要内容和和本设计要完成的目标,并分析了任意波形发生器的国内外发展现状。第二章主要对直接数字频率合成技术(即DDS技术)的原理做理论分析,并且对DDS技术性能上的优点和缺点分别进行了说明。第三章介绍了FPGA的整个设计结构并加以说明,并详细介绍了FPGA的发展历史背景及优点。第四章制定了整个系统的设计流程,分别从不同模块分析了整个设计的详细流程。第五章为整个设计作总结,对整个论文

15、工作作总结,并指出今后的努力方向。第二章 直接数字频率合成器原理及性能分析2.1 直接数字频率合成技术的基本原理首先,直接数字频率合成(Direct Digital Synthesizer)电路的实现是整个电路系统设计的关键。直接数字频率合成电路工作时第一步对需要的波形进行采样,将采样数值存入波形存储器作为查找表,然后经过查找表把数据读出来,再经过 D/A 转换器将数字信号转化成为模拟信号,并通过低通滤波器将DAC转换器输出的阶梯波转换成光滑的连续信号,最后把存入的数据重新合成出来。直接数字频率合成电路的基本结构一般包括以下几部分:相位累加器、波形存储器 ROM、数模转换器 DAC 以及低通滤

16、波器等。直接数字频率合成器原理结构框图如图2-1所示。频率控制字N寄存器寄存器ROM查找表MDAC相位控制字P正弦或其他信号相位调制器系统时钟clk相位累加器K图2-1 直接数字频率合成器原理框图在图2-1中,设为参考时钟频率, P为相位控制字。N为相位累加器的字长,K为频率控制字,M为ROM数据位和D/A转换器的字长。相位累加器在时钟的控制下以补偿K累加,输出的N位二进制码经过处理(截断处理)后与相位字相加,结果作为ROM的输入地址,对波形ROM进行寻址。合成信号的波形取决于ROM中存储的幅度码,因此可以用DDS产生任意波形。ROM中输出的M位的幅度码经D/A转换后就可得到合成波形。DDS工

17、作时,频率控制字FCW在每个时钟周期内与相位累加器相加,相位控制字PCW则是作为相位累加器的初始值,相位累加器得到的相位值(02)在每一个时钟周期内以二进制码的形式去寻址波形查找表,将相位信息转变为相应的数字化波形幅度值。数字化波形送入D/A转换器将数字波形变换成阶梯状的模拟波形输出,最后通过低通滤波器滤除其他干扰频率成分,得到最终需要的实际波形信号的输出。DDS 输出的波形频率值与采样时钟关系如2-1 式所示: (2-1)DDS的输出波形相位值见2-2式: (2-2) 其中是最终输出的起始相位,N是相位宽度,P是相位控制字。2.2 DDS技术的性能分析DDS的主要优点有:(1)频率分辨率高由

18、2-1式可以看出,DDS输出频率与系统时针成正比,与相位宽度成反比,其分辨率为:,相位宽度每增加一位,频率分辨率缩小一倍。根据现如今器件水平,频率分辨率很容易做到Hz的水平。因此DDS具有较高的频率分辨率。(2)频率改变时间短DDS的频率转换时间指的是频率控制字的传输时间以及以低通滤波器为主的器件频率响应时间的和。频率转换时间指的是从发出频率转换指令开始至频率转换完成,最后到进入允许的相位误差范围内需要的时间。和锁相环和模拟振荡器等不同在于,DDS的内部是一个开环系统能,能够快速进行频率的切换。(3)可控性能优良。DDS芯片在实际的操作应用中,步骤简单可行,过程也非常方便快捷,易于操作盒控制。

19、(4)信号质量精准可靠。专用DDS芯片由于采用特定的集成工艺,内部数字信号抖动很小,可以输出高质量的模拟信号;利用DDS器件也能输出较高质量的信号,虽然达不到专用DDS芯片的水平,但信号精度误差在允许范围之内。(5)成本低廉。专用DDS芯片价格较高,而用FPGA器件设计的DDS电路嵌入到系统中并不会使成本增加多少。 (6)任意波形输出能力。 根据Nyquist定理,如果波形中所包含的高频分量小于取样频率的一半,则输出的波形完全取决于储存器的数据信号。那么这个波形就能够由DDS来产生,而且由于DDS为模块化的结构,因此,只需要变更存储器里面的信号就可以利用DDS器件来产生出三角弦、矩形波、正弦波

20、等任意波形。 但是DDS也有自身很明显的缺点:(1)输出信号的杂散相对来说很大;(2)输出带宽较窄。受器件速度(特别是DAC)的限制较为严重。(3)输出波形的数据信号的带宽也被限制。DDS的输出杂散很大,造成这样的原因是信号合成过程中的相位截断误差、A/D转换器的误差和D/A转换器的非线性。由于科学技术的飞速发展,这些缺点正逐步得到克服。比如可以通过增长ROM波形的长度也能实现减小相位截断误差的目的:通过增加ROM波形的字长和D/A转换器的精度来使得D/A量化误差减小等。当然,总是通过靠增加字长和ROM波形的深度和的方法来减小杂散对性能的提高必然会受到一定的限制。在较新的DDS芯片中普遍采用了

21、12bit的D/A转换器。在已有的研究中,也就DDS输出的频谱做了大量的数据实验分析后,也得出了其杂波抑制差。DDS全数字结构带来了很多优点,但正是由于这种结构以及访问查找表时采用的相位截断、DAC位数有限等决定了DDS的杂波抑制较差。第三章 FPGA设计流程3.1 FPGA简介FPGA(Field Programmable Gate Array,现场可编程门阵列) 为1984年由Xilinx公司发明的,FPGA是可以再编程的芯片。用户可以通过对FPGA器件编程实现所需要的逻辑功能。只要通过改变芯片内部集成电路和布线情况,无需修改外围电路板便可以改变电路的逻辑功能。相对于CPLD(Comple

22、x Programmable Logic Device,)复杂可编程逻辑器件而说,FPGA更加适合精准度高的电路中较多的数字系统。在这两类可编程逻辑器件中,CPLD提供的逻辑资源相对来说较少,而FPGA提供的优良的性能、精准的密度和丰富的资源。因此FPGA技术已经在世界各个领域中占据了很重要的地位。相对于ASIC(Application Sepcific Intergrated Gircuits,专用集成电路)而言,FPGA是半通用的器件。若想要改变电路功能,则不需要重新定义和设计ASIC的时间。自1984年Xilinx公司推出的第一片现场可编程逻辑器件(FPGA)至今,FPGA已经历了30几

23、年的快速发展历程。特别是近几年来,更是发展迅速。FPGA的逻辑规模已经从最初的1000个可用门发展到现在的1000万个可用门。3.2 FPGA的优点利用FPGA技术采用直接数字式频率合成器(Direct Digital Frequency Synthesis,简称DDS或DDFS)的方式,在FPGA中定义Rom空间来存储所需要波形的量化数据,根据不同的频率要求以频率控制字作为步进对相位增量进行累加,以累加相位值作为地址码读取存放在存储器内部的波形数据,经D/A转换和幅度控制,再经过滤波器滤波便可以得到所需要的波形。DDFS具有相对带宽很宽,频率转换时间极短(可小于20nS),频率分辨率可以做到

24、很高等优点;另外,全数字化结构便于集成,输出相位连续,而且理论上可以实现任意波形,能够比较全面的满足题目的要求。(1) 性能利用硬件并行的优势,FPGA打破了顺序执行的模式,在每个时钟周期内完成更多的处理任务,超越了数字信号处理器(DSP)的运算能力。 著名的分析与基准测试公司BDTI,发布基准表明在某些应用方面,FPGA每美元的处理能力是DSP解决方案的多倍。在硬件层面控制输入和输出(I/ O)为满足应用需求提供了更快速的响应时间和专业化的功能。(2)上市时间尽管上市的限制条件越来越多,FPGA技术仍提供了灵活性和快速原型的能力。用户可以测试一个想法或概念,并在硬件中完成验证,而无需经过自定

25、制ASIC设计漫长的制造过程。由此用户就可在数小时内完成逐步的修改并进行FPGA设计迭代,省去了几周的时间。商用现成(COTS)硬件可提供连接至用户可编程FPGA芯片的不同类型的I/O。高层次的软件工具的日益普及降低了学习曲线与抽象层,并经常提供有用的IP核(预置功能)来实现高级控制与信号处理。(3)成本自定制ASIC设计的非经常性工程(NRE)费用远远超过基于FPGA的硬件解决方案所产生的费用。ASIC设计初期的巨大投资表明了原始设备制造商每年需要运输数千种芯片,但更多的最终用户需要的是自定义硬件功能,从而实现数十至数百种系统的开发。可编程芯片的特性意味着用户可以节省制造成本以及漫长的交货组

26、装时间。系统的需求时时都会发生改变,但改变FPGA设计所产生的成本相对ASCI的巨额费用来说是微不足道的。(4)稳定性软件工具提供了编程环境,FPGA电路是真正的编程“硬”执行过程。基于处理器的系统往往包含了多个抽象层,可在多个进程之间计划任务、共享资源。驱动层控制着硬件资源,而操作系统管理内存和处理器的带宽。对于任何给定的处理器内核,一次只能执行一个指令,且基于处理器的系统时刻面临着严格限时的任务相互取占的风险。而FPGA不使用操作系统,拥有真正的并行执行和专注于每一项任务的确定性硬件,可减少稳定性方面出现问题的可能。(5)长期维护正如上文所提到的,FPGA芯片是现场可升级的,无需重新设计A

27、SIC所涉及的时间与费用投入。举例来说,数字通信协议包含了可随时间改变的规范,而基于ASIC的接口可能会造成维护和向前兼容方面的困难。可重新配置的FPGA芯片能够适应未来需要作出的修改。随着产品或系统成熟起来,用户无需花费时间重新设计硬件或修改电路板布局就能增强功能。3.3 FPGA的设计结构这里主要介绍基于FPGA/CPLD器件以实现数字系统的步骤与要点,基于FPGA/CPLD器件是数字系统设计流程如图3-1所示,主要包括设计输入、综合、FPGA/CPLD器件适配、仿真和编程下载等步骤。设计输入原理图HDL文本综合FPGA/CPLD适配FPGA/CPLD编程下载功能仿真时序仿真在线测试图3-

28、1 基于FPGA/CPLD的数字系统设计流程第四章 针对任意波形发生器的系统设计4.1 系统设计波形选择控制字波形选择寄存器相位字寄存器相位控制字频率字累加器频率字寄存器寻址累加器波形数据存储频率控制字PLL倍频 PLL倍频输出外部时钟FPGA设计框图如图4-1所示。图4-1 FPGA设计框图FPGA的主要功能是:(1)产生出与S3C2440一致的接口电路,使其能够接受ARM处理器发出的控制信号;(2)可以保存频率控制字,然后构成相位累加器,产生出与主时钟频率相同的RAM寻址字;(3)能够用内部的存储模块构成可以存放多种波形数据的ROM,再通过对应的控制线进行多功能选择;(4)构建出两个多波形

29、选择的输出通道,其中的一条通道可具备相移功能;(5)使用内部倍频外部低频晶振源,输出与主时钟同频的时钟,达到驱动片外高速D/A的目的。该系统可以实现所学常规的固定波形输出和任意波形输出。相位累加器用于对输入频率控制字进行累加运算,输入频率控制字决定输出信号的频率和频率分辨率。因此相位累加器是整个DDS性能的关键部分。传统的相位累加器是用1个加法器加1个D触发器组成,调用其中的1个宏模块设置成32位数据相加,再加另一个32位的宏模块,就可以组成相位累加器。4.2 FPGA的模块划分FPGA设计模块流程图如图4-2所示。顶层模块DDS控制模块时钟模块波形选择模块PW输入FW输入PW截取32位流水线

30、累加器ROMRAM图4-2 FPGA设计模块流程图整个设计有一个顶层模块,按照功能要求划分成三个功能模块,其中DDS控制模块是整个DDS的核心模块,相对来说比较复杂,又可以划分成6个模块。DDS模块的命令字比较多,一共有8个,每个都是8位,通过3位地址线寻址。第一个命令字是幅值命令字,用来控制输出波形的幅值,取值范围是0-255,可以将输出电压划分为255个电压等级。第二个命令字是波形数据命令字,它主要存放即将写入查找表中的数据。第三个命令字是查找表地址命令字,它主要存放选中的查找表的地址。第四、五、六个命令字是分频系数命令字,一共24位,用来将输入的全局时钟分频,作为相位累加器的时钟源,3个

31、命令字中依次为低八位、中八位、高八位。第七个的命令字是频率控制命令字,主要存放频率控制字,频率控制字一共12位,它存放其中低八位,最后的命令字是控制命令字,其中高四位用来存放频率控制字的高四位,最低位用来控制查找表的写入,当它为高电平时,将波形数据命令字中数据写入查找表地址命令字中的地址。该命令字的第二位控制启动波形生成,当它为高电平时,DDS模块开始输出波形,当它为低电平是,停止输出波形。4.2.1 时钟模块由耐奎斯特采样定理如要得到输出频率为20MHz的信号,那么,所输入的信号时钟频率必须得达到50MHz以上。采样的频率越高,输出的波形的平坦度越好,同时波形的的采样点数也就越多,那么所获得

32、的波形的质量也就越好。本设计中的DDS模块是一个高速模块,所以,对系统时钟也就有很高的要求,不仅需要有很高的稳定性,而且还需要有较高的频率,如果在FPGA的时钟端直接加一个高频晶振,时钟不会稳定,而且费用将会很高,功耗会很大。所以在本篇论文中,直接采用Altera公司的PLL核,在FPGA时钟端只要加一个低频晶振,那么就可以通过。如图4-3中为用一个低频晶振生成出的PLL的实例图,它们两个时钟都是都一个PLL所产生的,因此,输出的时钟偏移都在允许范围内。时钟倍频模块如图4-3所示。图4-3 时钟倍频模块4.2.2 D/A转换模块 N位的D/A转换器方框图如图4-4所示。n位数字量输入数码寄存器

33、n位模拟开关解码网络求和电路模拟量输出基准电压图4-4 n位的D/A转换器方框图从DDS的波形存储器输出的仍只是代表波形幅度量化值的N位数字信号,要得到模拟输出信号还需经D/A转换电路进行数一摸转换电路。D/A转换器是由电阻解码网络以及二进制数码控制的开关组成,并根据应用需要附加了一些功能电路构成的。D/A转换器将每一位的代码按其权的大小转换成相应的模拟量,然后将这些模拟量相加,即可得与数字量成正比的总模拟量,从而实现了数模转换。另外,系统还要求能够做到输出波形幅度、相位、频率可调。这样如果要做到幅度可调就必须调节数模转换的基准电压。而数模转换的输出模拟量的大小与基准电压有关。4.2.3 48

34、位寄存器设计本设计采用了48位寄存器,用来存储从ARM处理器读取的48位控制信号。其中控制信号的低16位用于作波形的选择,相位的偏移。控制信号的高位当作频率控制字使用,结合FPGA的累加器产生32位地址数据。利用这个地址从波形存储器里将波形调用出来,输出相应的波形。Verilog HDL程序:Module R_SYDFF (RB,D,CLK,Q);Input RB,CLK;Input 47:0D;Output 47:0Q;Reg47:0Q;always (posedge CLK or negedge RB)Q=(!RB)?0:D;Endmodule将上述程序利用quartusII仿真出来图形如

35、下图4-5所示。图4-5 48位寄存器仿真图 从仿真结果可以看出从外部输入的值D已经被存放在FPGA划分出来的寄存器Q当中。实现了48位寄存器的功能。4.2.4 地址发生器模块地址发生器模块包含相位累加器和相位控制器,其中相位累加器是DDS模块的核心部件,完成接收单片机送来的频率、相位等控制数据。本模块主要通过编程对FPGA芯片完成功能,所用到的硬件连接也只是FPGA芯片通过编程所分配的I/ O引脚。用FPGA芯片形成相位累加器的程序如下。 IF ( clk_24 c EVENT AND clk_24= c 1 c ) TH EN sintt : = sintt + sint_s( 19 do

36、wnto 0) ; rom_addr = sint t( 23 downto 16) ;仿真波形如图4-6所示。图4-6 相位累加器程序仿真图解仿真时序如图 4-7 所示。图4-7 相位调制仿真图解4.2.5 波形数据存储器设计系统中波形发生器能够产生任意波形,我们利用宏定义的方法,在FPGA内部定义一双口RAM单元,可在带电的状态下实现对当前波形的存储。同时由于是采用双口接口,可实现的数据的高速读取和写入。经过七步的设置,就可以生成一个ROM的IP核。当在波形ROM中固化所需波形的一个周期的幅度值后,由地址发生器产生的地址对波形ROM寻址,依次可取出送至D/A转换及滤波后即可得到所需的模拟波

37、形输出。受到掉电存储原理的启发,我们为FPGA(RAM定义在FPGA中)外接一锂电电池,这样即可保证掉电后RAM中的数据也不丢失。Verilog HDL程序:module ROM8 (address,inclock,q);input 7:0 address; input inclock;output 7:0 q;wire 7:0 subes wire0;wire 7:0 q=sub一 wire07:0;lpm_ rom lpm- rom- component (.address (address),.inclock (inclock),.q(sub wire0),.memenab (),.ou

38、tclock(); defparam lpm- rom- component.intended_device family=ACEX 1 K, Ipm rom_component.lpm width=8, lpm rom component.lpm- widthad=8, lpm一 rom component.lpm_ address control=REGISTERED, Ipm一 rom_ component.lpm- outdata=UNREGISTERED, Ipm- rom- component.lpm_file=SINDATA.MIF, lpm_ rom_ component.lp

39、m一ype=LPM_ ROM; Endmodule调用上述程序,将ROM表中的数据调出,可形成最后的波形。下面以正弦波为例子。32位正弦波仿真图如4-8所示。图4-8 32位正弦波仿真图4.2.6 任意波形输出模块图4-9是所设计的DDS任意波形发生器在quartusII6.0中的时序仿真。(以正弦波为例)。图4-9 DDS任意波形发生器输出正弦波数字仿真图4.3任意波形发生器的FPGA实现在早期的DDS系统中,使用的是分离的数字器件搭接,但随着整个电路系统运行频率的提高,采用分离器件构建的DDS电路也有其自身无法解决的问题,这主要表现在系统工作频率和电磁兼容上。后来出现的专用DDS芯片,对科

40、学技术的发展起了推动作用,然而专用DDS芯片有其自身的缺陷价格昂贵,目前还无法实现任意波形输出。近来,CPLD及FPGA的发展克服了它的弊端并为它的实现和发展提供了更好的技术手段。FPGA的应用不但使得数字电路系统的设计更加方便。用FPGA按照DDS的基本原理和结构设计和实现一个任意波形发生器,所以DDS的几个基本部分都是应当具备的。实现任意波形发生的关键在于把存放波形量化表的ROM换成了可以改写的RAM,这样通过与RAM的接口可以改变存放在波形RAM中的数据从而实现任意波形发生。采用FPGA设计DDS电路比用专用DDS芯片更加灵活。相比之下,FPGA的功能完全取决于设计本身的需求,可复杂也可

41、简单,因为只要改变FPGA中的ROM数据,DDS就可以产生任意波形,因此具有很大的灵活性。另外,将DDS设计嵌入到FPGA芯片所构成的系统中,其系统成本不会增加多少,但购买专用芯片的价格则是前者的数倍。并且FPGA芯片还支持在系统现场升级,虽然在精度和速度上稍显不足,但也能大致满足大部分系统的使用要求。因此,采用FPGA来设计DDS系统具有比较高的性价比。 第五章 总结与展望随着电子行业的高速发展,对任意波形发生器的需求越来越大。本文首先介绍了任意波形发生器的发展,然后介绍了实现任意波形发生器的主流技术DDS技术。直接数字频率合成器(DDS)是从相位概念出发直接合成所需波形的一种频率合成技术。

42、通过学习研究,了解了DDS工作的特点和方式,阐述了DDS信号合成技术的优势,掌握了在Quartus II环境下对FPGA设计,构建DDS的技术,发挥了任意波形输出的灵活性。通过该设计,掌握了DDS的理论原理,熟练了FPGA的设计与使用,加深了对单片机控制系统的理解,提高了自己的专业能力。同时,设计中还存在一些不足之处。本文章详细阐述了产生任意波形数据和基于FPGA的硬件设计部分,以Quartus软件平台作为开发工具,选用CycloneII系列的EP2C5-F256C6 FPGA芯片实现DDS结构中的数字部分,其中相位累加器是DDS的核心部件,重点阐述了相位累加器部分的设计,采用8级流水线结构借

43、助前5级的超前进位模块,编译的最高工作频率,由31797 MHz提高到3367 MHz,采用此种设计方法,节约了成本,缩短了开发周期,具有可行性。由于作者水平有限、经验不够丰富,本设计还是存在许多不足,一些技术环节仍需改进。所以,在今后的工作和学习中,我将从实际出发,把每个困难都当成是一次历练,积极钻研,创造出更完美的设计。参考文献1 杨晓慧,杨旭.FPGA系统设计与实例M.北京:人民邮电出版社,2010324-336.2 黄智伟,王彦.FPGA系统设计与实践M.北京:电子工业出版社,2005381-390.3 杜慧敏,李宥谋,赵全良.基于Verilog的FPGA设计基础M.西安: 西安电子科

44、技大学出 版社, 2006.02.99-103.4华清远见嵌入式培训中心.FPGA应用开发入门与典型事例M.北京:人民邮电出版社, 2008.07.70-91.5蔡述庭,陈平,棠潮,吴泽雄.FPGA设计从电路到系统M.北京:清华大学出版社, 2014.10.61-72.6王振红.FPGA电子系统设计项目实战(VHDL语言)M.北京:清华大学出版 社,2014.07.101-123.7黄振华.基于FPGA函数信号发生器的设计与实现D.江苏大学硕士学位论文,2009.8薛文.南京理工大学DDS任意波形发生器的设计与实现D.南京理工大学硕士学位论 文,2004.9乐春峡,王雁平.基于 FPGA的任意

45、波形发生器的设计J.现代电子技术, 2008,01(51).51-53.10 杜洋. 爱上单片机(第三版)M. 北京:人民邮电出版社,2014.8.14-21.11 曾屹.单片机原理与应用M.长沙:中南大学出版社,20099-17.12 王金明,冷自强.EDA技术与Verilog设计M.北京:科学出版社,2008364-370. 13 郑锋等. 51单片机应用系统典型模块开发大全M. 北京:中国铁道出版社,2013.11.14 李广弟等.单片机基础M.北京:北京航空航天出版社,2001.87-92.15 V. Yu. Teplov,A. V. Anisimov. Thermostatting System Using a Single-Chip Microcomputer and Thermoelectric Modules Based on the Peltier EffectJ

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1