最新8.22 出租车自动计价器设计.ppt

上传人:scccc 文档编号:11349209 上传时间:2021-07-28 格式:PPT 页数:21 大小:106KB
返回 下载 相关 举报
最新8.22 出租车自动计价器设计.ppt_第1页
第1页 / 共21页
最新8.22 出租车自动计价器设计.ppt_第2页
第2页 / 共21页
亲,该文档总共21页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《最新8.22 出租车自动计价器设计.ppt》由会员分享,可在线阅读,更多相关《最新8.22 出租车自动计价器设计.ppt(21页珍藏版)》请在三一文库上搜索。

1、8.22 出租车自动计价器设计,8.22 出租车自动计价器设计,8.22 出租车自动计价器设计,设计要求,设计一个出租车计价器。 该计价器的计费系统:行程 3公里内,且等待累计时间2分钟内,起步费为10元;3公里外以每公里1.6元计费,等待累计时间2分钟外以每分钟以1.5元计费。 并能显示行驶公里数、等待累计时间、总费用。,8.22 出租车自动计价器设计,主要技术指标,计价范围:0999.9元 计价分辨率: 0.1元 计程范围:099公里 计程分辨率:1公里 计时范围:059分 计时分辨率:分,8.22 出租车自动计价器设计,系统组成,它由外部输入模块、FPGA模块、显示模块三部分组成。,8.

2、22 出租车自动计价器设计,模块设计,1. 分频模块 2. 控制模块 3. 计量模块 4. 译码模块 5. 显示模块,8.22 出租车自动计价器设计,1.分频模块,分频模块对频率为240Hz的输入脉冲进行分频, 得到的频率为16Hz,15Hz和1Hz的三种频率。,8.22 出租车自动计价器设计,2. 控制模块,控制模块是系统的核心部分,对计价器的状态进行控制。,8.22 出租车自动计价器设计,3.计量模块完成下面3个功能:,计价功能 计时功能 计程功能,8.22 出租车自动计价器设计,计价部分:行程 3公里内,且等待累计时间2分钟内,起步费为10元;3公里外以每公里1.6元计费,等待累计时间2

3、分钟外以每分钟1.5元计费。 计时部分:计算乘客的等待累计时间。计时器的量程为59分,满量程自动归零。 计程部分:计算乘客所行驶的公里数。计程器的量程为99公里,满量程自动归零。,8.22 出租车自动计价器设计,4.译码模块,计费数据送入显示译码模块进行译码,最后送至百元、十元、元、角为单位对应的数码管上显示。 计时数据送入显示译码模块进行译码,最后送至分为单位对应的数码管上显示。 计程数据送入显示译码模块进行译码,最后送至以公里为单位的数码管上显示。,8.22 出租车自动计价器设计,5.显示模块,计价数据在以百元、十元、元、角为单位对应的数码管上显示。 计时数据在以分为单位对应的数码管上显示

4、。 计程数据在以公里为单位的数码管上显示显示。,8.22 出租车自动计价器设计,出租车计价器程序设计与仿真,8.22 出租车自动计价器设计,出租车计价器电路符号,8.22 出租车自动计价器设计,电梯程序端口定义,entity taxi is port (clk_240 :in std_logic; -频率为240Hz的时钟 start :in std_logic; -计价使能信号 stop:in std_logic; -等待信号 fin:in std_logic; -公里脉冲信号 cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0); -费用

5、数据 km1,km0:out std_logic_vector(3 downto 0); -公里数据 min1,min0: out std_logic_vector(3 downto 0); -等待时间 end taxi;,8.22 出租车自动计价器设计,电梯程序中间信号定义,signal f_15,f_16,f_1:std_logic; -频率为15Hz,16Hz,1Hz的信号 signal q_15:integer range 0 to 15; -分频器 signal q_16:integer range 0 to 14; -分频器 signal q_1:integer range 0 t

6、o 239; -分频器 signal w:integer range 0 to 59; -秒计数器 signal c3,c2,c1,c0:std_logic_vector(3 downto 0);-制费用计数器 signal k1,k0:std_logic_vector(3 downto 0); -公里计数器 signal m1:std_logic_vector(2 downto 0); -分的十位计数器 signal m0:std_logic_vector(3 downto 0); -分的个位计数器 signal en1,en0,f:std_logic; -使能信号,8.22 出租车自动计价

7、器设计,注:仿真图中秒跟分的关系为3进制,即w为2时就归0;出租车总行驶里程为5公里,等待累计时间为4分钟,总费用为16.2 元。,8.22 出租车自动计价器设计,出租计价器程序仿真图-开头部分,8.22 出租车自动计价器设计,注:行驶公里数为4时,f得到16个计价脉冲数,计价器加16。既等效于加1.6元。,8.22 出租车自动计价器设计,注:等待累计时间为3分钟时,f得到15个计价脉冲。计价器的数值增加15。即等效于加1.5元。,8.22 出租车自动计价器设计,feipin:process(clk_240,start),if clk_240event and clk_240=1 then i

8、f start=0 then q_15=0;q_16=0;f_15=0;f_16=0;f_1=0;f=0; else if q_15=15 then q_15=0;f_15=1; -得到频率为15Hz的信号 else q_15=q_15+1;f_15=0; end if; - 得到16Hz和1Hz的信号方法与上面相同 if en1=1 then f=f_15; -此IF语句得到计费脉冲f elsif en0=1 then f=f_16; else f=0; end if; end if; end if;,8.22 出租车自动计价器设计,process(f_1),elsif stop=1 then if w=59 then w0000001then en1=1; -此IF语句得到en1使能信号 else en1=0; end if; else w=w+1;en1=0; end if;,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1