EDA毕业设计论文资料.docx

上传人:李医生 文档编号:11704173 上传时间:2021-08-31 格式:DOCX 页数:24 大小:1MB
返回 下载 相关 举报
EDA毕业设计论文资料.docx_第1页
第1页 / 共24页
EDA毕业设计论文资料.docx_第2页
第2页 / 共24页
EDA毕业设计论文资料.docx_第3页
第3页 / 共24页
EDA毕业设计论文资料.docx_第4页
第4页 / 共24页
EDA毕业设计论文资料.docx_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《EDA毕业设计论文资料.docx》由会员分享,可在线阅读,更多相关《EDA毕业设计论文资料.docx(24页珍藏版)》请在三一文库上搜索。

1、郑州轻院轻工职业学院专科毕业设计(论文)题 目 EDA技术在电子线路设计中的应用学生姓名 祝俊升专业班级 电子工艺与管理学 号 学111164系 别 机电工程系指导教师(职称) 郭建庄(高级讲师)完成时间2011 年03月19 日EDA技术在电子线路设计中的应用摘要电子设计的必由之路是数字化,这已成为共识。ED儆术是伴随着计算机、集成电路、 电子系统的设计发展起来的。 电子技术和计算机技术的不断发展, 在涉及通信、国防、航天、工业自动化、仪器仪表等领域的电子系统设计工作中,ED徽术的含量正以惊人的速度上升, 它已成为当今电子技术发展的前沿之一。 20 世纪 90年代,国际上电子和计算机技术较先

2、进的国家, 一直在积极探索新的电子电路设计方法, 并在设计方法、 工具等方面进行了彻底的变革, 取得了巨大成功。 在电子技术设计领域,可编程逻辑器件(如CPLD FPGA的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。本文首先阐ED儆术的基本概念和发展过程,并通过实例介绍ED儆术在电子设计中的应用。关键词 EDA&术卞S述/电子线路设计/EDA技术的发展The rapid development of the EDA technologyABSTRACTElectr

3、onic Design is the comonly way to digital, which has become the consensus. Electronic products are being carried out at an unprecedented rate of innovation, mainly large-scale programmable logic devices in a wide range of applications. Especially in the current semiconductor technology has reached t

4、he level of deep sub-micron chip integration of high-reach stem megabits, the clock frequency to the stem MHz is also more than the development of the median data of several billion times per second, the future integrated circuit technology will be the development trend of system-on-chipSOC. In orde

5、r to achieve on-chip system-on-chip programmable complex programmable logic device (CPLD) and field programmable gate array (FPGA) will become the future design of electronic systems, a direction of development. Therefore, the development of electronic design technologies to today, will face even gr

6、eater significance in another breakthrough, FPGA on the basis of a wide range of EDA applications.EDA technology concepts:EDA is the electronic design automation, as it is just a new technology developed, involving a wide range of content-rich, understanding of different, so there is no one precise

7、definitiKEY WORDS EDA technology , Electronic Design, EDA technology concept、, 、. 前言在数字化的道路上,电子技术经历了一系列重大的变革。从应用小规模集成电路构成电路系统,到广泛地应用微控制器或单片机(MCU),在电子系统设计上发生了具有里程碑意义的飞跃。 电子产品正在以前所未有的速度进行着革新, 主要表现在大规模可编程逻辑器件的广泛应用。特别在当前,半导体工艺水平已经达到深亚微米,芯片的集成高达到干兆位, 时钟频率也在向干兆赫兹以上发展, 数据传输位数达到每秒几十亿次,未来集成电路技术的发展趋势将是SOC(Sys

8、tem 0h aCh5p)片上系统。从而实现可编程片上系统芯片 CPU杂可编程逻辑器件)和5PGA现场可编程门阵列)必将成为今后电子系统设计的一个发展方向。 所以电子设计技术发展到今天, 又将面 临另一次更大意义的突破,而EDA但子设计自动化)技术在电子产品设计上的应用地 日渐突出 .一、 EDA 技术概述1、EDA技术的概念:EDA 是电子设计自动化(Elechonics Des5P AM toM60n)的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异,所以目前尚无一个确切的定义。但从EDAK术的几个主要方面的内容来看,可以理解为: EDAJJ术是以大规模可编程逻辑器件

9、为设计载体,以硬件描述语言为系统逻辑描述的 主要表达方式, 以计算机、 大规模可编程逻辑器件的开发软件及实验开发系统为设计工具, 通过有关的开发软件, 自动完成用软件的方式设计电子系统到硬件系统的一门新技术。 可以实现逻辑编译、 逻辑化简、 逻辑分割、 逻辑综合及优化, 逻辑布局布线、逻辑仿真。完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形集成电子系统或专用集成芯片。2、EDA技术的发展大致可以分为三个发展阶段。20世纪70年代的CAD计算机辅助设计 ) 阶段:这一阶段的主要特征是利用计算机辅助进行电路原理图编辑, PCB 布同布线,使得设计师从传统高度重复繁杂的绘图劳动中解

10、脱出来。 20 世纪 80 年代 的QtE(计算机辅助工程设计)阶段:这一阶段的主要特征是以逻辑摸拟、定时分析、故障仿真、 自动布局布线为核心, 重点解决电路设计的功能检测等问题, 使设计而能在产品制作之前预知产品的功能与性能。20世纪90年代是EDA但子设计自动化)阶 段: 这一阶段的主要特征是以高级描述语言, 系统级仿真和综合技术为特点, 采用 “自 顶向下”的设计理念,将设计前期的许多高层次设计由EDA工具来完成。EDA电子技术设计自动化, 也就是能够帮助人们设计电子电路或系统的软件工具。 该工具可以 在电子产品的各个设计阶段发挥作用, 使设计更复杂的电路和系统成为可能。 在原理 图设计

11、阶段,可以使用EDA中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用EDA中的芯片设计工具设计制作芯片的版图:在电路板设计阶段,可以使用EDA中电路板设计工具设计多层电路板。特别是支持硬件描述语言的EDAX具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正 确,就可以进行该数字系统的芯片设计与制造。3、EDAK术的基本特征:EDA代表了当今电子设计技术的最新发展方向,利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCBK图的整个过程在汁算机上自动处理完

12、成。 设计者采用的设计方法是一种高层次的” 自顶 向下” 的全新设计方法, 这种设汁方法首先从系统设计人手, 在顶层进行功能方框图 的划分和结构设计。 在方框图一级进行仿真、 纠错 并用硬件描述语言对高层次的系 统行为进行描述, 在系统一级进行驶证。 然后, 用综合优化工具生成具体门电路的网 络表, 其对应的物理实现级可以是印刷电路板或专用集成电路 (ASIC) 。 设计者的工作 仅限于利用软件的方式,即利用硬件描述语言和EDAC件来完成对系统硬件功能的实 现。 由于设计的主要仿真和调试过程是在高层次上完成的, 这既有利于早期发现结构 设计上的错误, 避免设计工作的浪费, 又减少了逻辑功能仿真

13、的工作量, 提高了设计 的一次性成功率。4、EDAK术的应用:电子EDAK术发展迅猛,逐渐在教学、科研、产品设计与制 造等各方面都发挥着巨大的作用。在教学方面:几乎所有理工科(特别是电子信息)类的高校都开设了 ED硼程。在科研方面:主要利用电路仿真工具进行电路设计与仿 真;利用虚拟仪器进行产品调试;将O)LI) /FPGAS件的开发应用到仪器设备中。从 高性能的微处理器、数字信号处理器一直到彩电、音响和电子玩具电路等,EDAK术不单是应用于前期的计算机模拟仿真、 产品调试, 而且也在 Pcb 印制板的制作、 电子EDA设备的研制与生产、电路板的焊接、朋比的制作过程等有重要作用。可以说电子术已经

14、成为电子工业领域不可缺少的技术支持。5、EDA技术发展趋势:ED儆术在进入21世纪后,由于更大规模的FPG前凹m 器件的不断推出,在仿真和设计两方面支持标准硬件描述语言的功能强大的EDAC件不断更新、增加,使电子EDAft术得到了更大的发展。电子技术全方位纳入ED颂域, EDA8得电子领域各学科的界限更加模糊,更加互为包容,突出表现在以下几个方面: 使电子设计成果以自主知识产权的方式得以明确表达和确认成为可能;基于EDAT具的ASIC设计标准单元已涵盖大规模电子系统及 IP核模块;软硬件IP核在电子行业 的产业领域、技术领域和设计应用领域得到进一步确认;SoC高效低成本设计技术的成熟。 随着半

15、导体技术、 集成技术和计算机技术的迅猛发展, 电子系统的设计方法和 设计手段都发生了很大的变化。 可以说电子EDAft术是电子设计领域的一场革命。 传 统的 “固定功能集成块十连线” 的设计方法正逐步地退出历史舞台, 而基于芯片的设 计方法正成为现代电子系统设计的主流。 作为高等院校有关专业的学生和广大的电子 工程师了解和攀握这一先进技术是势在必行, 这不仅是提高设计效率的需要, 更是时 代发展的需求,只有攀握了 EDAJJ术才有能力参与世界电子工业市场的竞争,才能生存与发展。随着科技的进步,电子产品的更新日新月异,EDAK术作为电子产品开发研制的源动力,已成为现代电子设计的核心。所以发展ED

16、AK术将是电子设计领域和 电子产业界的一场重大的技术革命, 同时也对电类课程的教学和科研提出了更深更高的要求。特别是EDAK术在我国尚未普及,掌握和普及这一全新的技术,将对我国电 子技术的发展具有深远的意义。 在现在和未来,EDAK术主要应用于下面几个方面:1 高校电子类专业的实践教学中,如实验教学、课程设计、毕业设计、设计竞赛等均可借助凹ID/5PGAS件,既使实验设备或设计出的电子系统具有高可靠性,又经 济、快速、容易实现、修改便利,同时可大大提高学生的实践动手能力、创新能力和计算机应用能力。2.科研和新产品开发中,0)U)/5PGAT直接应用于小批量产品的 芯片或作为大批量产品的芯片前期

17、开发。 传统机电产品的升级换代和技术改造, 0)U) /5PGA勺应用可提高传统产品的性能,缩小体积,提高技术含量和产品的附加值。二、ED A技术的应用举例(TDA1514功放电路在DXP 2 0 0 4中的设计)1、功放芯片简述1514是飞利浦公司生产的一款优秀的HIFI集成电路。TDA1514A的工作电压为为V30V,在电压为受5V、RL=8Q 时,输出功率达到 50 W,总谐波失真为 0.08% 。 电路有静音保护,过热保护,低失调电压高波纹抑制等功能。而且热阻极低,高频解析力强,低频有力度,音色通透纯正,低频丰满,高频透亮。2、电路原理图及各元器件作用原理图设计是整个Protel工程的

18、开始,是PC双档设计乃至最后制版的基础。一般设计程序是:首先根据实际电路的复杂程度确定图纸的大小,即建立工作平面;然 后从元器件库中取出所需元件放到工作面上,并给它们编号、对其封装进行定义和设 定;最后利用Protel DXP提供的工具指令进行布线,将工作平面上的元器件用具有电 气意义的导线、符号连接起来,对整个电路进行信号完整性分析,确保整个电路无误。电路各部分功能1脚正相输入用做信号输入,9脚反响输入用于负反馈,C01 C03 R01(C02 C04 R02) 成滤波电路,其中1U 20K两个元件把低频截至频率限制到 7HZ ,220P电容可以吸收一部分高频震荡降低烟花率.R03 R05(

19、R04 R06)两个电阻为反馈网络,控制电路放大倍数在30左右3脚为静音控制,C05 R07(C06 R08)决定开机静音时间。静音时间与这两个元件大小成 正比。7脚为自举,更换自举电容C09(C10)的种类可以使音色发生微妙的变化,R09 R11(R10R12)需要用较大功率电阻。R13 C07 (R14 C08)为输出茹贝尔网络,电阻应选用大功率电阻。C11 C13(C12 C14)是退耦电容。C15(C16)也是并联在电源端,吸收线路耦合信号的。这个电容用到10U100U都可以 需 要注意的是这个电容的耐压应该是供电电源电压单边的2倍。电路设计的最终目的是生产制作电子产品,各种电子产品的

20、使用功能与物理结构都是通过印制电路板来实现的。印制电路板 (PCB)是电子设备中的重要部件之一,其设计和 制造是影响电子设备的质量、成本的基本因素之一。因此 ,印制电路板(PCB)设计质量直 接影响着电子产品的性能3、自动布局图及手动调整后布局图自动布局调整后布局图音响功放类电路设计注意事项:1 .增强高频抗干扰能力针对杂散电磁波多数是中高频信号的特点,在放大器输入端对地增设磁片电容, 容值可在47220P之间选取,数百皮法容值的电容频率转折点比音频范围高两、 三个数量级,对有效听音频段内的声压响应和听感的影响可忽略不计。2 .注意电源变压器安装方式用质量较好的电源变压器,尽量拉开变压器与PC

21、B之间的距离,调整变压器与PCB间的方位,将变压器与放大器敏感端远离。3 .地线干扰音频电路地线可简单划分为电源地和信号地,电源地主要是指滤波、退耦电容地线,小信号地是指输入信号、反馈地线。小信号地与电源地不能混合,否则必将引发 很强的交流声:强电地由于滤波和退耦电容充放电电流较大(相对信号地电流),在电路板走线上必然存在一定压降,小信号地与该强电地重合,势必会受此波动电压影 响,也就是说,小信号的参考点电压不再为零。 信号输入端与信号地之间的电压变化 等效于在放大器输入端注入信号电压, 地电位变化将被放大器拾取并放大,产生交流 声。增加地线线宽、背锡处理只能在一定程度上减弱地线干扰,但收效并

22、不明显。有部分未严格将地线分开的PCBft于地线宽、走线很短,同时放大级数很少、退耦电容 容量很小,因此交流声尚在勉强可接受范围内,只是特例,没有参考意义。4 、 pcb 板图及附铜板图A面覆铜板2C u-Emi.呼4IZ?.36?” 0iL c才UI -I 吕MlI M2 c 6 一IN 一1C09rL2 o c ClDI匚口 3N1Cl 3 CH* ICl 5山-IDJILZ !-G CLc2 u2N28 图NC2 网络和DRC佥查和结构检查- 制版。第一:前期准备。这包括准备元件库和原理图。要做出一块好的板子,除了要设计好 原理之外,还要画得好。在进行PCBS计之前,首先要准备好原理图S

23、CH勺元件库和 PCB的元件库。一般常见的元器件可以用 peotel自带的元器件库中找到,如果找不 到合适的,最好是自己根据所选器件的标准尺寸资料自己做元件库。原则上先做 PCB 的元件库,再做SCH勺元件库。PCB的元件库要求较高,它直接影响板子的安装;SCH 的元件库要求相对比较松,只要注意定义好管脚属性和与PCBE件的对应关系就行。PS:注意标准库中的隐藏管脚。之后就是原理图的设计,做好后就准备开始做PCB殳计了。第二:PC叫构设计。这一步根据已经确定的电路板尺寸和各项机械定位,在 PCB 设计环境下绘制PCB8面,并按定位要求放置所需的接插件。按键/开关。螺丝孔。 装配孔等等。并充分考

24、虑和确定布线区域和非布线区域(如螺丝孔周围多大范围属于 非布线区域)。第三:PCBMo布局说白了就是在板子上放器件。这时如果前面讲到的准备工作都做好的话,就可以在原理图上生成网络表 (Design-Create Netlist), 之后在PCB图 上导入网络表(Design-LoadNets) 。就看见器件哗啦啦的全堆上去了,各管脚之间还有飞线提示连接。然后就可以对器件布局了。一般布局按如下原则进行:三 . 制版工艺流程1. 双面制板工艺流程(简述 )电路设计-覆箔板下料-表面处理-打印电路图-热转印-补缺-腐刻(浸泡在1:4FeCl3溶液中腐刻)-去膜-涂助焊、防氧化剂-钻孔-焊接元件-检查

25、调试检验包装成品。2. 双面制板工艺流程( 简述 )双面覆铜板-下料-裁板-数控钻导通孔-检验、去毛刺刷洗-化学镀(导通孔金属化)(全板电镀薄铜)-检验刷洗-网印负性电路图形、固化(干膜或湿膜、曝 光、显影)-检验、修板-线路图形电镀-电镀锡(抗蚀锲/金)去印料(感光膜)- 蚀刻铜-(退锡)清洁刷洗-网印阻焊图形常用热固化绿油 (贴感光干膜或湿膜、曝 光、显影、热固化,常用感光热固化绿油)-清洗、干燥-网印标记字符图形、固化 f(喷锡或有机保焊膜)-外形加工-清洗、干燥-电气通断检测-检验包装-成品。 其详细说明这里不再赘述。3. 需要注意的问题在初次表面处理时, 需要用 P240-320 之

26、间的水沙纸打磨覆铜表面, 去除表面的氧化层。并且用5%FeCl3溶液浸泡1分钟,以增强印墨的粘敷力。腐刻溶液的温度最好 在 25左右。助防氧化剂是把松香按照:10 的体积比 , 放入95%的酒精中浸泡24h 以上形成的。钻孔时,按所装元件脚的直径小+0.2mm选择最接近标称值的钻头。4、三维立体图u 1 .原理图设计原理图设计是整个Protel工程的开始,是PC双档设计乃至最后制版的基础。一 般设计程序是:首先根据实际电路的复杂程度确定图纸的大小,即建立工作平面;然后 从元器件库中取出所需元件放到工作面上,并给它们编号、对其封装进行定义和设定; 最后利用Protel DXPI供的工具指令进行布

27、线,将工作平面上的元器件用具有电气意义的导线、符号连接起来, 对整个电路进行信号完整性分析 , 确保整个电路无误。1. 电路板规划电路板规划的主要目的是确定其工作层结构, 包括信号层、 内部电源 / 接地层、 机械层等。通过执行菜单命令DesignBoard Layers, 在打开的对话框中可以控制各层的显示与否,以及层的颜色等属性设置。如果不是利用PCB向导来创建一个电路板文件的话,就要自己定义PCB勺形状和尺寸。绘制时需单击工作窗口底部的层标签,再由 PlaceKeepout 命令来单独定义。该操作步骤实际上就是在Keep Out Layer( 禁止布线层 ) 上用走线绘制出一个封闭的多边

28、形, 而所绘多边形的大小一般都可以看作是实际印制电路板的大小。2. 元器件的选择对元器件的选择要严格遵循设计要求。在Protel DX啾件中,常用的分立元件和接插件都在软件分目录 Library 下 Miscellaneous Device. Intlib 和 Miscellaneous Connectors. Intlib 两个集成元件库中。其它的元件主要按元器件生产厂商进行分 类 , 提供了型号丰富的集成库。 但是有时候出于个人设计的需要, 设计者无法在库文件中找到完全匹配的元器件, 此时就只有通过制作工具绘制所需元器件。 需要注意的是,绘制元件时一般元件均放置在第四象限, 象限交点即为元

29、件基准点。3. 元器件的布局Protel DXP 提供了强大的自动布局功能, 在预放置元件锁定的情况下, 可用自动布局放置其他元件。执行命令ToolsAuto PlacementAuto Placer, 在 Auto Place 对话框中选择自动布局器。 Protel DXP 提供两种自动布局工具:Cluster Placer 自动布局器使用元件簇算法, 将元件依据连接分为簇, 考虑元件的几何形状, 用几何学方法布放簇 , 这种算法适用于少于 100 个元件的情况;Global Placer 自动元件布局器使用基于人工智能的模拟退火算法 , 分析整个设计图形, 考虑线长、连线密度等, 采用统计

30、算法 , 适用于更多元件数量的板图。自动布局较方便, 但产生的板并不是最佳方案,仍需要手工调整。4. 元器件的连线导致在生成网络报连线很讲究原则和技巧 , 走线应尽量美观、简洁。一些设计人员在初期使用Protel DXF行设计时,只在表象上将元件连起,而出现“虚点”表时出错。好的设计习惯是打开电气网络, 使连线可以轻松连接到一个不在捕获网络上的实体;打开在线DRC监控布线过程,违反规则的设计被立即显示出来。完成预布线后 , 为了在自动布线时保持不变, 需要对预布线锁定。打开菜单EditFind SimilarObjects, 选择要锁定的对象。 自动布线与交互式布线相结合可以很好地提高布线成功

31、率和效率。自动布线的结果为手工调整提供参考。结束语作为一名电子硬件工程师、大专院校电子类专业的在校学生或者电子爱好者,必须积极主动学习ED徽术并紧跟其发展步伐,只有这样才能乘上现代科技的快车去适应激烈竞争的环境。致谢空1行3号黑体小4号宋体参考文献空1行小3号黑体1 Hirshleifer J. On the Economics of Transfer PricingJ. Journal of Business, 1956, 29(3): 172 - 184.2 Baldenius T, Reichelstein S, Sahay S A. Negotiated Versus Cost-Bas

32、ed Transfer PricingJ.Review of AccountingStudies,1999,4: 67-915号宋体和Times New喝Roman )网址标注到引 用文章处3夏普WF,亚历山大GJ,贝利JV.赵锡军,龙永红,季冬生,等译 .投资学.北京:中国人民 大学出版社,1996, 12 -.4约瑟夫AA.王微等译.期权市场运作.北京:清华大学出版社,1998, 45陈共,周生业,吴晓求.证券投资分析.北京:中国人民大学出版社,1998,6林文俏.股市风险透视与防范.广州:广东经出版社,1997, 87门明.论期权与风险投资管理.对外经济贸易大学学报,1999, 2: 1

33、0158秦海波.“太阳”为何与微软打“世界官司”.经济日报,1999年5月27 E9唐晓强.中国通信产业研究http:/ 注:(1)按论文中参考文献出现的先后顺序用阿拉伯数字连续编号,并与文中的编号顺序相对 应。(2)参考文献中每条项目应齐全。文献中的作者不超过三位时全部列出;超过三位时只列 出前三位,后而加“等”字;作者姓名之间用逗号分开;中外人名一体采用姓在前,名在后的著 录法。Dsajfklsj参考文献:1王廷才,王崇文.电子线路计算机辅助设计Protel 2004M.北京:高等教育出版社,2006.2杜刚.电路设计与制版M .北京:清华大学出版社,20063李精华.用Protel DXP设计电路板的原理和方法J.西安航空技术高等专科学校学报,2006(3).转贴于中国论文下载中心http:/附录小3号黑体注:论文的附录依次为附录1,附录2,编号。附录中的图表公式另编排序号,与 正文分开。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 科普知识


经营许可证编号:宁ICP备18001539号-1