EDA技术课程标准.docx

上传人:scccc 文档编号:12988683 上传时间:2021-12-09 格式:DOCX 页数:5 大小:106.01KB
返回 下载 相关 举报
EDA技术课程标准.docx_第1页
第1页 / 共5页
EDA技术课程标准.docx_第2页
第2页 / 共5页
EDA技术课程标准.docx_第3页
第3页 / 共5页
EDA技术课程标准.docx_第4页
第4页 / 共5页
EDA技术课程标准.docx_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《EDA技术课程标准.docx》由会员分享,可在线阅读,更多相关《EDA技术课程标准.docx(5页珍藏版)》请在三一文库上搜索。

1、. EDA技术课程标准课程代码:59012935 课程性质:选修课 课程类型: B类(一)课程性质EDA应用技术是电子信息工程技术、应用电子技术等专业的核心主干课程,是针对电子设备装接工、电路绘图与PCB制版工、电子设计初级工程师等所从事的利用计算机专业软件和设备设计电路原理图、印制板图,设计与测试可编程逻辑器件等典型工作任务 分析后,归纳总结出来其所需求的电子产品的原理图及PCB设计、PLD设计开发的芯片选型、编程、仿真、调试等能力而设置的课程。(二)课程目标通过任务引领型的项目活动,使学生掌握电子EDA设计技术的基本知识和基本技能,具有逻辑思维能力、学习新技术的能力。能解决生产与应用中的实

2、际问题,完成本专业相关岗位的工作任务。通过对本课程的学习,应达到一下教学目标:1.知识结构目标学生建立电子设计自动化的概念,掌握电子设计自动化的设计方法和基本流程;熟练掌握电子辅助设计软件protel99SE的使用及pcb的设计;掌握可编程逻辑器件种类、特点及应用场合;了解可编程器件开发工具基本知识;掌握VHDL语言及其应用熟悉数字可编程开发工具MAX+PLUSEII应用方法。2.专业能力目标能用protel99软件设计绘制电子电路原理图和印制板电路图的能力具有用可编程器件开发一般小型数字电路的能力初步掌握用高级语言进行电子电路自动化设计的方法养成良好的程序设计习惯,能够灵活运用编程和调试的技

3、巧培养学生的自学能力3.专业素质目标获取资讯和归纳总结、方案表达的能力、沟通与合作能力责任意识、创新意识、质量意识、成本意识良好的工作习惯,包括在技术文档管理、电路图绘制、安装、焊接、调试等工作中按规范操作的习惯。(三)课程内容和要求序号课程内容知识要求教学要求理论课时实验课时总课时1Multisim在电路中的仿真1.熟悉软件运行环境2.掌握电路分析基础、模拟电子电路和数字电子电路等课程知识1.熟悉mulitisim软件的使用,对软件的电路仿真功能有深入的了解2.掌握运用multisim的各种分析方法和虚拟仪器等对电路分析、模拟电子电路以及数字电路中进行仿真3.通过对仿真现象的分析,提高学生对

4、知识的掌握程度,进而能自主根据要求设计电路1012222Protel99电路原理图绘制1.元件及元件编辑2.电路原理图绘制的基本方法3.报表文件的生成1.掌握元件及元件编辑2.掌握protel99电路原理图绘制的基本方法3.掌握网络表、材料清单的生成4.掌握文件的输出66123Protel99印制电路板的设计1.Pcb的设计流程2.Pcb的元件封装及管理3.Pcb设计的基本操作方法4.电路板的后处理1.掌握pcb封装元件的创建和pcb元件库的管理2.熟悉pcb的板层和制版工艺的相关知识3.熟练掌握单层板和双层板的设计方法4.熟悉电路板的敷铜、泪滴等的后处理5.掌握DRC检测和元件报表生成661

5、24硬件描述语言VHDL1.VHDL的程序结构2.VHDL基本元素和基本描述语句1.掌握VHDL的程序基本结构2.掌握标识符、数据对象、数据类型、属性等概念3.掌握并发语句和顺序语句的描述方法665开发工具MAX+plusII1.MAX+plusII的基本操作2.MAX+plusII的应用1.了解MAX+plusII的软件组成和设计方法2.熟练掌握MAX+plusII的原理图输人法和文本输入法3.掌握MAX+plusII的编译、仿真和配置方法448总计60(四)课程实施和项目设计1. 教材选用与编写教材应充分体现任务引领、实践导向课程的设计思想。2.教学建议 (1)本课程教学的关键是现场计算机

6、上机教学,应选用网络计算机教室为载体,在教学过程中,教师示范和学生上机操作训练互动,学生提问与教师解答、指导有机结合,让学生在“教”与“学”过程中,逐步加深对EDA的认识。(2)在教学过程中,要创设工作情景,同时应加大实践实操的容量,加强技能培训、重点培养学生的掌握掌握常用EDA工具的使用方法、FPGA的开发技术以及VHDL语言的编程方法。通过安排典型电路实例的实际,综合地应用理论知识学习和对实际电路的认识,达到对电子EDA设计技术的全面的认识和把握,提高学生的岗位适应能力。(3)在教学过程中应用挂图、多媒体、投影和演示等教学资源辅助教学,帮助学生对各种典型电路理解。(4)在教学过程中,要重视

7、本专业领域新技术、新工艺、新设备发展趋势,贴近生产现场。为学生提供职业生涯发展的空间,努力培养学生参与社会实践的创新精神和职业能力。3.课程资源的开发与利用(1)注重实验实训指导书和实验实训教材的开发和应用。(2)注重应用EDA教学软件、幻灯片、投影片、录像带、视听光盘、教学仪器、多媒体仿真软件等常用课程资源和现代化教学资源的开发和利用,这些资源有利于创设形象生动的工作情景,激发学生的学习兴趣,促进学生对知识的理解和掌握。同时,建议加强课程资源的开发,建立多媒体课程资源的数据库,努力实现跨学校多媒体资源的共享,以提高课程资源利用效率。(3)积极开发和利用网络课程资源,充分利用诸如电子书籍、电子

8、期刊、数据库、数字图书馆、教育网站和电子论坛等网上信息资源,使教学从单一媒体向多种媒体转变;教学活动从信息的单向传递向双向交换转变;学生单独学习向合作学习转变。同时应积极创造条件搭建远程教学平台,扩大课程资源的交互空间。(4)产学合作开发实验实训课程资源,充分利用本行业典型的生产企业的资源,进行产学合作,建立实习实训基地,实践“工学”交替,满足学生的实习实训,同时为学生的就业创造机会。建立本专业开放实训中心,使之具备现场教学、实验实训、职业技能证书考证的功能,实现教学与实训合一、教学与培训合一、教学与考证合一,满足学生综合职业能力培养的要求。4.教学项目设计项目工作任务知识点学习目标Multi

9、sim在电路中的仿真OTL功率放大器的设计与仿真1.OTL功率放大器的工作原理2.中心电压调节3.静态工作点测量4.交越失真的处理1.掌握multisim仿真电路的创建2.掌握multisim虚拟仪器的使用计数器的设计与仿真计数器工作原理1.掌握multisim虚拟仪器的使用2.掌握应用multisim对电路进行分析及设计原理图及PCB绘制串联调整型稳压电源原理图设计1.稳压电源电路原理图设计方法2.元件及元件编辑3.原理图绘制的基本方法4.电气规则检测5.报名文件的生成1.掌握元件及元件编辑2.掌握protel电路原理图绘制的基本方法3.掌握网络报表、材料清单的生成4.掌握文件的输出串联调整

10、型稳压电源PCB设计1.PCB的设计流程2.PCB元件封装及管理3.PCB设计的基本操作方法4.电路板的后处理1.掌握pcb封装元件的创建和库管理2.熟悉pcb板层和制版工艺的相关知识3.掌握单层板和双层板的设计方法4.掌握电路板的敷铜等后处理可编程逻辑器件设计8路抢答器设计1.抢答器的工作原理2.Max+plusII软件的基本操作3.VHDL的设计方法和流程1.了解PLD结构及特点2.掌握MAX+plusII软件原理图输入设计方法3.掌握VHDL程序设计方法(五)课程考核与评价1.考核方法EDA技术的作业和考试主要考查学生以下两方面的能力和水平:一是EDA技术基础知识的掌握,包括电路及仿真、

11、印制电路板设计、VHDL语言等; 二是学生运用EDA工具解决实际工程问题的能力和水平。考试成绩主要由书面考试、平时考核、实验考核、上机考试、课外实践等几个方面的成绩综合而成,加大平时考核成绩比例,学生参加电子设计竞赛取得的成绩,可以奖励一定的学分。(1)关注评价的多元性,结合课堂提问、学生作业、平时测验、实验实训、技能竞赛及考试情况,综合评价学生成绩。(2)应注重学生动手能力和实践中分析问题、解决问题能力的考核,对在学习和应用上有创新的学生应予特别鼓励,全面综合评价学生能力。2.评价标准序号工作任务评价标准评价方式分值1OTL功率放大器的设计与仿真评价学生对各个任务的完成情况、操作的熟练程度、及对每个任务的改进设计等。学生的协作能力、交流沟通能力、自主解决问题能力教师评价、小组互评、组员互评802计数器的设计与仿真3串联调整型稳压电源原理图设计4串联调整型稳压电源PCB设计58路抢答器设计综合性考核20合计100*;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1