gEDA实验指导书0910B.docx

上传人:scccc 文档编号:13155248 上传时间:2021-12-17 格式:DOCX 页数:31 大小:30.70KB
返回 下载 相关 举报
gEDA实验指导书0910B.docx_第1页
第1页 / 共31页
gEDA实验指导书0910B.docx_第2页
第2页 / 共31页
gEDA实验指导书0910B.docx_第3页
第3页 / 共31页
gEDA实验指导书0910B.docx_第4页
第4页 / 共31页
gEDA实验指导书0910B.docx_第5页
第5页 / 共31页
点击查看更多>>
资源描述

《gEDA实验指导书0910B.docx》由会员分享,可在线阅读,更多相关《gEDA实验指导书0910B.docx(31页珍藏版)》请在三一文库上搜索。

1、.节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄

2、袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁

3、袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈

4、蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆

5、蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃

6、肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁

7、羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅

8、罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃

9、袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀

10、螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈

11、螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅

12、聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃

13、羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀

14、羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈

15、袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅

16、袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂

17、螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀

18、蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅

19、肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂

20、羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀

21、袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇

22、袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄

23、螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁蚇羄膆薀衿螇膂蕿蕿肂肈膆蚁袅羄膅螃肀芃膄蒃袃腿膃薅聿肅节蚈袂羁芁螀蚄艿芁薀袀芅芀蚂

24、螃膁艿螄羈肇芈蒄螁羃芇薆羆节芆蚈蝿膈莅螁羅肄莅蒀螈羀莄蚃羃羆莃螅袆芅莂蒅肁膁莁薇袄肇莀虿肀羂荿螂袂芁葿蒁蚅膇蒈薄袁肃蒇螆蚄聿蒆蒅罿羅蒅薈螂芄蒄蚀羇膀蒃螂螀肆薃蒂羆羂薂薄螈芀薁 数字EDA实验实 验 指 导 书钱 强 编 写适用专业:通信工程江苏科技大学计算机科学与工程学院2010 年 3 月:前 言本课程是通信工程专业选修课程,教学目的在于训练学生综合运用学过的数字电路的基本知识,独立设计比较复杂的数字电路的能力;培养学生紧跟世界先进电子技术,运用先进的EDA工具实现电子设计自动化综合设计的能力,适应二十一世纪对高素质人才的要求;掌握电子设计自动化的基本方法,理解计算机在电子设计中的重要作用,

25、了解电子线路板的设计,能应用EDA工具进行简单数字系统的设计。通过学习这门课程,使学生掌握CPLD和FPGA可编程逻辑器件的使用;能熟练应用原理图输入法和VHDL 语言输入法,进行简单的数字系统设计;并能对所设计的电子系统进行模拟仿真或在实验开发板上硬件实现;掌握利用软件设计开发硬件电路的基本技能,掌握图形及语言的混合编程方法;掌握自上而下的现代数字系统设计方法,为以后在论文设计和科学研究工作中的应用打下良好基础。本实验的主要任务是使学生基本掌握在EDA软件平台上,利用硬件描述语言VHDL( VHSIC Hardware Description Language)进行数字系统设计,完成系统的逻

26、辑描述,逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合以及逻辑优化和仿真测试,下载到PLD中,实现数字系统的逻辑功能。本课程设置了六个实验,具体实验项目为:实验一 八选一数据选择器的设计;实验二 血型配对器的设计 实验三 简单数字钟的设计实验四 简单交通灯的设计实验五 流水灯的设计 实验六 乘法器的设计 实验一 八选一数据选择器的设计实验学时:2学时实验类型:设计实验要求:必做一、实验目的1、熟悉Quartus II软件的使用。2、了解数据选择器的工作原理。3、熟悉EDA开发的基本流程。二、实验原理及内容实验原理数据选择器在实际中得到了广泛的应用,尤其是在通信中为了利用多路信号中的一路,可以

27、采用数据选择器进行选择再对该路信号加以利用。从多路输入信号中选择其中一路进行输出的电路称为数据选择器。或:在地址信号控制下,从多路输入信息中选择其中的某一路信息作为输出的电路称为数据选择器。数据选择器又叫多路选择器,简称MUX。8选1数据选择器:(1)原理框图:如右图。D0 、D1、D2、D3 、D4、D5、D6 、D7 :输入数据A2、A1 、A0 :地址变量由地址码决定从路输入中选择哪路输出。(2)真值表如下图:真值表错误,重新写成8选一(3)逻辑图 数据选择器的原理比较简单,首先必须设置一个选择标志信号,目的就是为了从多路信号中选择所需要的一路信号,选择标志信号的一种状态对应着一路信号。

28、在应用中,设置一定的选择标志信号状态即可得到相应的某一路信号。这就是数据选择器的实现原理。实验内容1、分别采用原理图和VHDL语言的形式设计8选1数据选择器2、对所涉及的电路进行编译及正确的仿真。三、实验组织运行要求1、学生在进行实验前必须进行充分的预习,熟悉实验内容;2、学生严格遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实验室人员管理;3、要求独立完成实验,教师可以给予一定的辅导;4、教师检查学生的实验结果;5、综合评定学生的实验成绩。四、实验条件Quartus II实验环境五、实验报告实验预习在实验前每位学生都需要对本次实验进行认真的预习,并写好预习报告,在预习报告中要写出实

29、验目的、要求,需要用到的仪器设备、物品资料以及简要的实验步骤,形成一个操作提纲。实验记录学生开始实验时,应该将记录本放在近旁,将实验中所做的每一步操作、记录的结果及相关条件如实地记录下来。在本实验中,应按实验步骤的要求执行各命令,认真记录每条命令运行后的实验结果。实验报告对实验记录中记录的实验结果,能分析其原理。对实验中的特殊现象、实验操作的成败、实验的关键点等内容进行整理、分析和总结。回答思考题,提出实验结论或提出自己的看法等。六、其它说明学生在实验过程中应遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实验室人员管理。实验二 血型配对器的设计实验学时:2学时实验类型:设计实验要求:

30、必做一、实验目的1、进一步熟悉Quartus II软件的使用。2、掌握简单组合逻辑电路的设计方法与功能仿真技巧。3、进一步学习Quartus II中基于原理图设计的流程。二、实验原理及内容实验原理人类有O、A、B、AB 种基本血型,输血者与受血者的血型必须符合图示原则。设计一血型配对电路,用以检测输血者与受血者之间的血型关系是否符合,如果符合,输出为1,否则为0。已知: AB血型是万能受血者,O血型是万能献血者!如果要输血给O型血,那么可以的血型是O型!如果要输血给A型血,那么可以的血型是A,O型!如果要输血给B型血,那么可以的血型是B,O型!如果要输血给AB型血,那么可以的血型是A,B,AB

31、,O型! OOAABBABAB受血者输血者实验内容1、用VHDL语言编写程序实现血型配对器的功能2、对所编写的电路进行编译及正确的仿真。三、实验组织运行要求1、学生在进行实验前必须进行充分的预习,熟悉实验内容;2、学生严格遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实验室人员管理;3、要求独立完成实验,教师可以给予一定的辅导;4、教师检查学生的实验结果;5、综合评定学生的实验成绩。四、实验条件Quartus II实验环境五、实验报告实验预习在实验前每位学生都需要对本次实验进行认真的预习,并写好预习报告,在预习报告中要写出实验目的、要求,需要用到的仪器设备、物品资料以及简要的实验步骤

32、,形成一个操作提纲。实验记录学生开始实验时,应该将记录本放在近旁,将实验中所做的每一步操作、记录的结果及相关条件如实地记录下来。在本实验中,应按实验步骤的要求执行各命令,认真记录每条命令运行后的实验结果。实验报告对实验记录中记录的实验结果,能分析其原理。对实验中的特殊现象、实验操作的成败、实验的关键点等内容进行整理、分析和总结。回答思考题,提出实验结论或提出自己的看法等。六、其它说明学生在实验过程中应遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实验室人员管理。实验三 简单数字钟的设计实验学时:3学时实验类型:设计实验要求:必做一、实验目的1、了解数字钟的工作原理。2、进一步学习Qu

33、artus II中基于VHDL设计的流程。3、掌握VHDL编写中的一些小技巧。4、掌握简单时序逻辑电路的设计方法与功能仿真技巧。二、实验原理及内容实验原理简单数字钟应该具有显示时分秒的功能。首先要知道钟表的工作机理,整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转实验内容1、用原理图的方式编写一个12/24进制的计数器,并创建为SYMBOL文件。2、用VHDL的方式编写一个60进制的计数器,并创建为SYMBOL文件。3、创建顶层文件。调用已编写的SYMBOL文件,设计简单的数字钟电路。2、对所编写的

34、电路进行编译及正确的仿真。三、实验组织运行要求1、学生在进行实验前必须进行充分的预习,熟悉实验内容;2、学生严格遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实验室人员管理;3、要求独立完成实验,教师可以给予一定的辅导;4、教师检查学生的实验结果;5、综合评定学生的实验成绩。四、实验条件Quartus II实验环境五、实验报告实验预习在实验前每位学生都需要对本次实验进行认真的预习,并写好预习报告,在预习报告中要写出实验目的、要求,需要用到的仪器设备、物品资料以及简要的实验步骤,形成一个操作提纲。实验记录学生开始实验时,应该将记录本放在近旁,将实验中所做的每一步操作、记录的结果及相关条

35、件如实地记录下来。在本实验中,应按实验步骤的要求执行各命令,认真记录每条命令运行后的实验结果。实验报告对实验记录中记录的实验结果,能分析其原理。对实验中的特殊现象、实验操作的成败、实验的关键点等内容进行整理、分析和总结。回答思考题,提出实验结论或提出自己的看法等。六、其它说明学生在实验过程中应遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实验室人员管理。实验四 简单交通灯的设计实验学时:3学时实验类型:设计实验要求:必做一、实验目的1、了解交通灯的亮灭规律。2、了解交通灯控制器的工作原理。3、进一步熟悉VHDL语言编程,了解实际设计中的优化方案。二、实验原理及内容实验原理交通灯的显示

36、有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车子如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的亮灭规律。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通灯的亮灭规律为:初始态是两个路口的红灯全亮,之后东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东

37、西路口方向,重复上述过程。实验内容1、用VHDL的方式编写一个简单的交通控制灯电路2、对所编写的电路进行编译及正确的仿真。三、实验组织运行要求1、学生在进行实验前必须进行充分的预习,熟悉实验内容;2、学生严格遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实验室人员管理;3、要求独立完成实验,教师可以给予一定的辅导;4、教师检查学生的实验结果;5、综合评定学生的实验成绩。四、实验条件Quartus II实验环境五、实验报告实验预习在实验前每位学生都需要对本次实验进行认真的预习,并写好预习报告,在预习报告中要写出实验目的、要求,需要用到的仪器设备、物品资料以及简要的实验步骤,形成一个操作

38、提纲。实验记录学生开始实验时,应该将记录本放在近旁,将实验中所做的每一步操作、记录的结果及相关条件如实地记录下来。在本实验中,应按实验步骤的要求执行各命令,认真记录每条命令运行后的实验结果。实验报告对实验记录中记录的实验结果,能分析其原理。对实验中的特殊现象、实验操作的成败、实验的关键点等内容进行整理、分析和总结。回答思考题,提出实验结论或提出自己的看法等。六、其它说明学生在实验过程中应遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实验室人员管理。实验五 流水灯的设计实验学时:3学时实验类型:设计实验要求:必做一、实验目的1、了解流水灯的工作原理。二、实验原理及内容实验原理要完成本实

39、验,首先必须了解流水灯的原理。所谓的流水灯实际上就是由多个LED发光二极管构成的电路,当发光二极管可以依次点亮时,即能呈现流水的效果。实验内容1、设计能带8个LED发光管发光,并按照要求轮流发光,产生流水灯的流动效果。2、应具有两种以上不同风格的流动闪亮效果。比如依次点亮或者依次熄灭。(选作)3、有起动、停止控制键。(选作)4、有流动闪亮效果选择设置键。(选作)5、对所编写的电路进行编译及正确的仿真。三、实验组织运行要求1、学生在进行实验前必须进行充分的预习,熟悉实验内容;2、学生严格遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实验室人员管理;3、要求独立完成实验,教师可以给予一定

40、的辅导;4、教师检查学生的实验结果;5、综合评定学生的实验成绩。四、实验条件Quartus II实验环境五、实验报告实验预习在实验前每位学生都需要对本次实验进行认真的预习,并写好预习报告,在预习报告中要写出实验目的、要求,需要用到的仪器设备、物品资料以及简要的实验步骤,形成一个操作提纲。实验记录学生开始实验时,应该将记录本放在近旁,将实验中所做的每一步操作、记录的结果及相关条件如实地记录下来。在本实验中,应按实验步骤的要求执行各命令,认真记录每条命令运行后的实验结果。实验报告对实验记录中记录的实验结果,能分析其原理。对实验中的特殊现象、实验操作的成败、实验的关键点等内容进行整理、分析和总结。回

41、答思考题,提出实验结论或提出自己的看法等。六、其它说明学生在实验过程中应遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实验室人员管理。实验六 乘法器的设计实验学时:2学时实验类型:设计实验要求:必做一、实验目的1、了解乘法器的工作原理。2、了解复杂时序电路的设计流程。二、实验原理及内容实验原理具体设计原理参见教材188页。实验内容1、设计一个能进行两个十进制数相乘的乘法器,乘数和被乘数均小于100。 (可以参考教材231页的VHDL代码来设计)2、对所编写的电路进行编译及正确的仿真。三、实验组织运行要求1、学生在进行实验前必须进行充分的预习,熟悉实验内容;2、学生严格遵守实验室的各项

42、规章制度,注意人身和设备安全,配合和服从实验室人员管理;3、要求独立完成实验,教师可以给予一定的辅导;4、教师检查学生的实验结果;5、综合评定学生的实验成绩。四、实验条件Quartus II实验环境五、实验报告实验预习在实验前每位学生都需要对本次实验进行认真的预习,并写好预习报告,在预习报告中要写出实验目的、要求,需要用到的仪器设备、物品资料以及简要的实验步骤,形成一个操作提纲。实验记录学生开始实验时,应该将记录本放在近旁,将实验中所做的每一步操作、记录的结果及相关条件如实地记录下来。在本实验中,应按实验步骤的要求执行各命令,认真记录每条命令运行后的实验结果。实验报告对实验记录中记录的实验结果

43、,能分析其原理。对实验中的特殊现象、实验操作的成败、实验的关键点等内容进行整理、分析和总结。回答思考题,提出实验结论或提出自己的看法等。六、其它说明学生在实验过程中应遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实验室人员管理。 膃蒀蚂羆芅芃薈羅羅蒈蒄薂肇芁莀蚁腿蒆虿蚀衿艿薅虿肁蒅薁蚈膃莈蒇蚇芆膀螅蚇羅莆蚁蚆肈腿薇蚅膀莄蒃螄袀膇荿螃羂莂蚈螂膄膅蚄螁芇蒁薀螁羆芄蒆螀聿葿莂蝿膁节蚀螈袁蒇薆袇羃芀蒂袆肅蒆莈袅芇芈螇袅羇膁蚃袄聿莇蕿袃膂腿蒅袂袁莅莁羁羄膈蚀羀肆莃薆罿膈膆蒂罿羈莂蒈羈肀芄螆羇膃蒀蚂羆芅芃薈羅羅蒈蒄薂肇芁莀蚁腿蒆虿蚀衿艿薅虿肁蒅薁蚈膃莈蒇蚇芆膀螅蚇羅莆蚁蚆肈腿薇蚅膀莄蒃螄袀膇荿螃

44、羂莂蚈螂膄膅蚄螁芇蒁薀螁羆芄蒆螀聿葿莂蝿膁节蚀螈袁蒇薆袇羃芀蒂袆肅蒆莈袅芇芈螇袅羇膁蚃袄聿莇蕿袃膂腿蒅袂袁莅莁羁羄膈蚀羀肆莃薆罿膈膆蒂罿羈莂蒈羈肀芄螆羇膃蒀蚂羆芅芃薈羅羅蒈蒄薂肇芁莀蚁腿蒆虿蚀衿艿薅虿肁蒅薁蚈膃莈蒇蚇芆膀螅蚇羅莆蚁蚆肈腿薇蚅膀莄蒃螄袀膇荿螃羂莂蚈螂膄膅蚄螁芇蒁薀螁羆芄蒆螀聿葿莂蝿膁节蚀螈袁蒇薆袇羃芀蒂袆肅蒆莈袅芇芈螇袅羇膁蚃袄聿莇蕿袃膂腿蒅袂袁莅莁羁羄膈蚀羀肆莃薆罿膈膆蒂罿羈莂蒈羈肀芄螆羇膃蒀蚂羆芅芃薈羅羅蒈蒄薂肇芁莀蚁腿蒆虿蚀衿艿薅虿肁蒅薁蚈膃莈蒇蚇芆膀螅蚇羅莆蚁蚆肈腿薇蚅膀莄蒃螄袀膇荿螃羂莂蚈螂膄膅蚄螁芇蒁薀螁羆芄蒆螀聿葿莂蝿膁节蚀螈袁蒇薆袇羃芀蒂袆肅蒆莈袅芇芈螇袅羇膁蚃袄

45、聿莇蕿袃膂腿蒅袂袁莅莁羁羄膈蚀羀肆莃薆罿膈膆蒂罿羈莂蒈羈肀芄螆羇膃蒀蚂羆芅芃薈羅羅蒈蒄薂肇芁莀蚁腿蒆虿蚀衿艿薅虿肁蒅薁蚈膃莈蒇蚇芆膀螅蚇羅莆蚁蚆肈腿薇蚅膀莄蒃螄袀膇荿螃羂莂蚈螂膄膅蚄螁芇蒁薀螁羆芄蒆螀聿葿莂蝿膁节蚀螈袁蒇薆袇羃芀蒂袆肅蒆莈袅芇芈螇袅羇膁蚃袄聿莇蕿袃膂腿蒅袂袁莅莁羁羄膈蚀羀肆莃薆罿膈膆蒂罿羈莂蒈羈肀芄螆羇膃蒀蚂羆芅芃薈羅羅蒈蒄薂肇芁莀蚁腿蒆虿蚀衿艿薅虿肁蒅薁蚈膃莈蒇蚇芆膀螅蚇羅莆蚁蚆肈腿薇蚅膀莄蒃螄袀膇荿螃羂莂蚈螂膄膅蚄螁芇蒁薀螁羆芄蒆螀聿葿莂蝿膁节蚀螈袁蒇薆袇羃芀蒂袆肅蒆莈袅芇芈螇袅羇膁蚃袄聿莇蕿袃膂腿蒅袂袁莅莁羁羄膈蚀羀肆莃薆罿膈膆蒂罿羈莂蒈羈肀芄螆羇膃蒀蚂羆芅芃薈羅羅蒈蒄薂

46、肇芁莀蚁腿蒆虿蚀衿艿薅虿肁蒅薁蚈膃莈蒇蚇芆膀螅蚇羅莆蚁蚆肈腿薇蚅膀莄蒃螄袀膇荿螃羂莂蚈螂膄膅蚄螁芇蒁薀螁羆芄蒆螀聿葿莂蝿膁节蚀螈袁蒇薆袇羃芀蒂袆肅蒆莈袅芇芈螇袅羇膁蚃袄聿莇蕿袃膂腿蒅袂袁莅莁羁羄膈蚀羀肆莃薆罿膈膆蒂罿羈莂蒈羈肀芄螆羇膃蒀蚂羆芅芃薈羅羅蒈蒄薂肇芁莀蚁腿蒆虿蚀衿艿薅虿肁蒅薁蚈膃莈蒇蚇芆膀螅蚇羅莆蚁蚆肈腿薇蚅膀莄蒃螄袀膇荿螃羂莂蚈螂膄膅蚄螁芇蒁薀螁羆芄蒆螀聿葿莂蝿膁节蚀螈袁蒇薆袇羃芀蒂袆肅蒆莈袅芇芈螇袅羇膁蚃袄聿莇蕿袃膂腿蒅袂袁莅莁羁羄膈蚀羀肆莃薆罿膈膆蒂罿羈莂蒈羈肀芄螆羇膃蒀蚂羆芅芃薈羅羅蒈蒄薂肇芁莀蚁腿蒆虿蚀衿艿薅虿肁蒅薁蚈膃莈蒇蚇芆膀螅蚇羅莆蚁蚆肈腿薇蚅膀莄蒃螄袀膇荿螃羂莂蚈螂

47、膄膅蚄螁芇蒁薀螁羆芄蒆螀聿葿莂蝿膁节蚀螈袁蒇薆袇羃芀蒂袆肅蒆莈袅芇芈螇袅羇膁蚃袄聿莇蕿袃膂腿蒅袂袁莅莁羁羄膈蚀羀肆莃薆罿膈膆蒂罿羈莂蒈羈肀芄螆羇膃蒀蚂羆芅芃薈羅羅蒈蒄薂肇芁莀蚁腿蒆虿蚀衿艿薅虿肁蒅薁蚈膃莈蒇蚇芆膀螅蚇羅莆蚁蚆肈腿薇蚅膀莄蒃螄袀膇荿螃羂莂蚈螂膄膅蚄螁芇蒁薀螁羆芄蒆螀聿葿莂蝿膁节蚀螈袁蒇薆袇羃芀蒂袆肅蒆莈袅芇芈螇袅羇膁蚃袄聿莇蕿袃膂腿蒅袂袁莅莁羁羄膈蚀羀肆莃薆罿膈膆蒂罿羈莂蒈羈肀芄螆羇膃蒀蚂羆芅芃薈羅羅蒈蒄薂肇芁莀蚁腿蒆虿蚀衿艿薅虿肁蒅薁蚈膃莈蒇蚇芆膀螅蚇羅莆蚁蚆肈腿薇蚅膀莄蒃螄袀膇荿螃羂莂蚈螂膄膅蚄螁芇蒁薀螁羆芄蒆螀聿葿莂蝿膁节蚀螈袁蒇薆袇羃芀蒂袆肅蒆莈袅芇芈螇袅羇膁蚃袄聿莇蕿袃膂腿蒅袂袁莅莁羁羄膈蚀羀肆莃薆罿膈膆蒂罿羈莂蒈羈肀芄螆羇膃蒀蚂羆芅芃薈羅羅蒈蒄薂肇芁莀蚁腿蒆虿蚀衿艿薅虿肁蒅薁蚈膃莈蒇蚇芆膀螅蚇羅莆蚁蚆肈腿薇蚅膀莄蒃螄袀膇荿螃羂莂蚈螂膄膅蚄螁芇蒁薀螁羆芄蒆螀聿葿莂蝿膁节蚀螈袁蒇薆袇羃芀蒂袆肅蒆莈袅芇芈螇袅羇膁蚃

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1