第九章功率放大电路习题.docx

上传人:scccc 文档编号:13355345 上传时间:2021-12-23 格式:DOCX 页数:12 大小:78.84KB
返回 下载 相关 举报
第九章功率放大电路习题.docx_第1页
第1页 / 共12页
第九章功率放大电路习题.docx_第2页
第2页 / 共12页
第九章功率放大电路习题.docx_第3页
第3页 / 共12页
第九章功率放大电路习题.docx_第4页
第4页 / 共12页
亲,该文档总共12页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《第九章功率放大电路习题.docx》由会员分享,可在线阅读,更多相关《第九章功率放大电路习题.docx(12页珍藏版)》请在三一文库上搜索。

1、第九章 功率放大电路一、填空题:1、 功率放大器要求有足够的_ 、较高的 _和较小的 _。2、 互补对称式功率放大器要求两三极管特性_ ,极性 _。3、 甲乙类互补对称电路虽然效率降低了,但能有效克服_ 。4、 OCL电路比 OTL电路多用了一路_ ,省去了 _ 。5、 乙类互补对称电路最高工作效率为_ 。、选择题:1、已知电路如图P9.2 所示,和 T2 管的饱和管压降丨UCES | =3V,VCC = 15V ,RL = 8 Q,。选择正确答案填入空内。图 P9.2(1) 电路中 Di 和 D2 管的作用是消除 _ 。A.饱和失真B. 截止失真 C. 交越失真(2) _静态时,晶体管发射极

2、电位UEQ。A.>0VB.=0VC.V0V精选资料,欢迎下载(3) _ 最大输出功率 POM _。C.=9W(4)_当输精选资料,欢迎下载A.为正弦波B. 仅有正C. 仅有负半波 ( 5)半波若 Di 虚焊,则 Ti 管 _ 。C. 始终截止2、不属于功率放大电路所要求的是 ()A. 足够的输出功率B.较高的电压放大倍数C. 较高的功率D. 较小的非线性失真3?带负载能力强的放大电路是- ()A. 阻容耦合放大电路B.差分放大电路C.共发射极放大电路D.射极输出器4. 最适宜作功放末极的电路是- ()A. 甲类功率放大器B.乙类功率放大器C.甲乙类互补对称输出电路D.OTL电路4 . 下

3、列说法错误的是 -()A. 当甲类功放电路输出为零时,管子消耗的功率最大B. 乙类功放电路在输出功率最大时,管子消耗的功率最大C. 在输入电压为零时,甲乙类推挽功放电路所消耗的功率是两管子的静态电流和电源电压的乖积D. OCL乙类互补对称电路,其功放管的最大管耗出现输出电压幅度为2-VCC 的时侯31三、综合题:1、在图功放电路中,已知V=12V, R_=8Q0 ui 为正弦电压,求:(1) 在 UcE(sat) 二 0 的情况下,负载上可能得到的最大输出功率;(2) 每个管子的管耗 PCM 至少应为多少?(3 ) 每个官子的耐压U (BR)CEO 至少应为多少 ?精选资料,欢迎下载+R L

4、u。图9.3.1所示电路中,已知二极管的导通电压UD= 0.7V , 晶体2、在图 9.3.2EQ = 0Vo试问:(1) 、 T3 和 T5 管基极的静态电位各为多少?(2)设 R2 = 10k Q ,R3 = 100 Q o 若 Ti 和 Ts 管基极的静态电流可忽略不计,则 T5 管集电极静态电流为多少?静态时UI =?3、在图所示电路中,已知T2 和 T4 管的饱和管压降 |UCES | =2V,静态时电源电流可忽略不计。试问负载上可能获得的最大输出功率 Pom 和效率 n 各为多少?精选资料,欢迎下载4. 如图示电路中 D? D4 为硅二极管, ? T4 为硅三极管,其中 Ta 和

5、T4 的Pcm、 VCES = 2V 、1 临=5A 、V (BR)CEO(1 ) 由上述几种参数估算可能达到的最大不失真输出功率Pomax ;(2) 计算为获得所需的 Rmax ,输入正弦电压的有效值应为多大;(3) 说明 T2 管的作用。 TS Os- *1 -F: ?RL2 str图5. 如下图所示为一个扩音机的简化电路(1) 为实现互补推挽放大,、 T2 分别为何类型管子,画出发射极箭头方向;(2)若运算放大器输出电压足够大,是否有可能在输出端得到8W的交流输出功率 ( Ti、T2 的饱和压降均为1V?(3) 若集成运算放大器最大输出电流为土 10mA则为了要最大输出电流, Ti、 T2 的 B 值应不低于多少?(4)为提高输入电阻、降低输出电阻并使放大性能稳定,应该如何通过R弓 I入反馈,在图中画出连接方式;(5)若 uS = 100 mV 时 Uo = 5V ,贝 U RF - ?8n精选资料,欢迎下载Welcome !欢迎您的下载 ,资料仅供参考 !精选资料,欢迎下载

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1