fpga多进制数字相位调制(MPSK).docx

上传人:scccc 文档编号:13562347 上传时间:2022-01-16 格式:DOCX 页数:34 大小:588.40KB
返回 下载 相关 举报
fpga多进制数字相位调制(MPSK).docx_第1页
第1页 / 共34页
fpga多进制数字相位调制(MPSK).docx_第2页
第2页 / 共34页
fpga多进制数字相位调制(MPSK).docx_第3页
第3页 / 共34页
fpga多进制数字相位调制(MPSK).docx_第4页
第4页 / 共34页
fpga多进制数字相位调制(MPSK).docx_第5页
第5页 / 共34页
点击查看更多>>
资源描述

《fpga多进制数字相位调制(MPSK).docx》由会员分享,可在线阅读,更多相关《fpga多进制数字相位调制(MPSK).docx(34页珍藏版)》请在三一文库上搜索。

1、武汉理工大学FPGA原理及应用课程设计报告学号:课程设计题目多进制数字相位调(MPS)学院信息工程学院专业通信工程班级姓名指导教师年 月日课程设计任务书学生姓名:专业班级:指导教师:工作单位:多进制数字相位调制(MPSK)初始条件:(1) Quartus II 9. 1 软件(2)课程设计辅导书:folinx FPGA设计与实践教程先修课程:数字电子技术、模拟电子技术、通信原理要求完成的主要任务:掌握多进制数字相位调制(MPSK)解调原理;(2)掌握仿真软件Quartus II的使用方法;完成用FPGA寸多进制数字相位调制(MPSK解调设计仿真,并对仿真结果进行分析。时间安排:指导教师签名:年

2、 月曰系主任(或责任教师)签名:年 月日目录摘要 1Abstract II1 .绪论 12 .基本原理及数学模型 22. 1 MPSK的调制原理 2_32.2 4PSK 信号63,仿真及结果分析 63. 1 MPSK调制电路VHDL程序及仿真63. 1. 1 MPSK调制方框图 73. 1.2 MPSK调制电路符号 73. 1. 3MPSK调制程序注释83. 1. 4MPSK调制程序仿真及注释93. 1. 5MPSK调制程序RTL图103. 2 MPSK解调电路VHDLg序及仿真11.1.1 2. 1MPSK解调方框图 :1.1.2 MPSK解调电路符号JL乙1.1.3 MPSK解调程序及注释

3、133. 2. 4MPSK解调程序仿真及注释143.2. 5MPSK解调程序RTL图141.设计及实现过程中遇到的问题155 .结论 166 .参考文献 17附录一:MPSI调制y VHDL程序附录二:MPS解调VHDL程序武汉理工大学FPGA原理及应用课程设计报告摘要多进制数字相位调制(MPSK - multi pie phase shift keyi ng)又称多相制,是二相制的推广。它是利用载波的多种不同相位状态来表征数字信息的调制方式。 与二进制数字相位调制相同,多进制数字相位调制也有绝对相位调制(MPSK和相对 相位调制(MDPSK两种o本文主要研究基于Quartus II 9.1仿

4、真软件设计的多进制数字相位调制(MPSK)系统,以4PSK系统为例。调制方法采用简便的相位选择法,且略去模拟 电路系统部分,仅对数字系统进行设计。关键字:多进制数字相位调制MPSK Quartus II 9. 1相位选择法II武汉理工大学FPGA原理及应用课程设计报告AbstractMulti-ba nd digital p hase modulati on (MPSK - mult iple p hase shift key in g), also known as multi-phase system is two-p hase system of pro moti on. It is t

5、he use of a plurality of differe nt p hase states of the carrier to characterize modulati on digital in formatio n. Binary digital modulati on with the same p hase, multi-ba nd digital p hase modulati on is also the absolute p hase modulati on (MPSK) and relative p hase modulation (MD PSK) two kin d

6、s.In this paper, based on multi-ba nd digital p hase modulatio n Quartus II 9. 1 simulatio n software desig n (MPSK) system to 4PSK system as an exa mp le. Phase modulati on method using a sim pie selecti on method, and the omitted part of the an alog circuitry, only the digital system desig n.Keywo

7、rds: Multi-ba nd digital phase modulation MPSK Quartus II 9. 1 p hase select ion meth#武汉理工大学FPGA原理及应用课程设计报告L绪论作为数字通信技术中重要组成部分的调制解调技术一直是通信领域的热点课题。随着当 代通信的飞速发展,通信体制的变化也日新月异,新的数字调制方式不断涌现并且得到实际应用。目前的模拟调制方式有 很多种,主要有AM、FM、SSB、DSB、CW等,而数字调制方式的种类更加繁多,女口 ASK、FSK、MSK、GMSK、PSK、DPSK、QPSK、QAM等。在众多调制方式中,MPSK信号由于抗

8、干扰能力强而得到了广泛的应用,具有较高的频谱利用率和较好的误码性能,并且实现复杂度小,解调理论成 熟,广泛应用于数字微波、卫星数字通信系统、有线电视的上行传输、宽带接入与移动通 信等领域中,并已成为新一代无线 接入网物理层和B3G通信中使用的基本调制方式。现场 可编程门阵列(Field Programmable Gate Array, FPGA)是 20 世纪 90 年代发展起来的大规模可编程逻辑器件,随着电子设计自动化(Electro nDesign AutomationEDA)技术和微电子技术的进步,FPGA的时钟延迟可达到ns级,结合其并行工作方式,在超高速、实时测控方面都有着非常广阔的

9、应用前景。FPGA具有高集成度、高可靠性等特点,在电子产品设计中也将得到广泛的应用。FPGA器件的另一特点是可用硬件描述语言VHDL对其进行灵活编程,可利用FPGA厂商提供的软件仿真硬件的功能,使硬件设计如同软件设计一样 灵活方便,缩短了系统研发周期。基于上述优点,用FPGA实现调制解调电路,不仅降低了产品成本,减小了设备体积,满足了系统的需要,而且比专用芯片具有更大的灵活性和可控性。在资源允许下,还可以实现多路调制。MPSK是目前应用非常广泛的调制解调技术,目前MPSK调制的实现主要是利用数字电路和专用芯片来完成,通常利用可编程数字电路对基带信号进行码元变换,成形滤波等处理后得到同相分量和正

10、交分量,然后将两路信号分量经过数模转换获得模拟信号送入一个正交相乘器与中频载波调制得到中频MPSK调制信号。该方法适合高码率数字信号的传输,但系统的开放性和灵活性较差。2.基本原理及数学模型2. 1 MPSK的调制原理多进制数字相位调制也称多元调相或多相制。它利用具有多个相位状态的正弦波来代表多组二进制信息码元,即用载波的一个相位对应于一组二进制信息码元。如果载波有2k个相位,它可以代表k位二进制码元的不同码组。多进制相移键控也分为多进制绝对相移键控和多进制相对(差分)相移 键控。在MPSK信号中,载波相位可取M个可能值,叭2 (日勺二笔亦一 1)因此,MPSK信号可表示为:耳2处耳MMT (

11、右)=Meo容(十家)二力+ M假定载波频率是基带数字信号速率的整数倍,则上式可改写为:口唤(0刁1工羔-口)5 (巩氏)coco二心加砒X 3占兄)g (f -码)-小in位工(sin瓦眩(f -呃)H=TOH=-上式表明,MPSK信号可等效为两个正交载波进行多电平双边带调幅所得已调波之和。因此其带宽与MASK信号带宽相同,带宽的产生也可按类似于产生双边带正交调制信号的方式实现。F面以四相相位调制为例进行讨论。四相调相信号是一种四状态符号,即符号有00、01、10、11四种状态。所以,对于输入的二进制序列,首先必须分组,每两位码元一组。然后根据组合情况,用载波的四种相位表征它们。这种由两个码

12、元构成一种状态的符号码元称为双比特码元。同理,k位二进制码构成一种状态符号的码元则称为k比特码元。2. 2 4PSK 信号四相PSK (4PSK信号实际是两路正交双边带信号。串行输入的二进制码,两位分成一组。若前一位用A表示,后一位用B表示,经串/并变换后变成宽度加倍的并行码(A、B码元在时间上是对齐的)。再分别进行极性变换,把单极性码变成双极性码,然后与载波相乘,形成正交的双边带信号,加法器输出形成4P SK信号。显然,此系统产生的是n/4系统PSK信号。如果产生 n/2系统的PSK信号,只需把载波移相n/4后再加到乘法器上即可。AllI极性变CCS叫ABAB输入串一变7E TTI单/取图1

13、系统信号的产生原理框图因为4 PSK信号是两个正交的2 PSK信号的合成,所以可仿照2 PSK信号的相平解调方法,用两个正交的相干载波分别检测A和B两个分量,然后还原成串行二进制数字信号,即可完成4 PSK信号的解调。此法是定时抽 样%(1)一种正交相平解调法,又称极性比较法,原理图如下图。1用,LPF 抽样W,R图2系统PSK信号解调原理框图7LPF 1 FtWOf T A I I为了分析方便,可不考虑噪声的影响。这样,加到接收机上的信号在符号持续时间 内可表示为:2/(0 二 / 83(如 + 耳 2 )假定讨论的刻4移那么E只能取郅K 3刃4, 5街d 7双4两路乘法器的输出分别为:卫

14、A=- g 现 + -CO 昭(5八 + 2 )1AJ4Ays(f)二亍血艮+亍血(2型 + )LPF输出分别是:根据n/4移相系统PSK信号的相位配置规定,抽样判决器的判决准则表如下图3。当判决器按极性判决时,若正抽样值判为1,负抽样值判为0,则可将调相信号解调为相应的数字信号。解调出的A和B再经并/串变换,就可还原出原调制信号。若解调n/2移相系统的PSK言号,需改变移相网络及判决准贝y。符号相位oos, 的械性的ft性顺翔出AB+113M4+015言寸400+10图3抽样判决器的判决准则表123 .仿真及结果分析3. 1 MPSK调制电路VHDL程序及仿真3. 1. 1MPSK调制方框图

15、电路符号图中没有包含模拟电路部分,输出信号为数字信号。基带信号通过串/ 并转换器XX得到2位并行信号y;四选一开关,根据yy的数据,选择载波对应的相位进行输出,即得调制信号y。如下图4所zj O图4 MPSK周制方框图3. L2MPSK调制电路符号MPSKst ant X图5 MPSK调制电路符号3. 1.3 MP SK调制程序注释一文件名:MPSK 一功能:基于VHD硬件描述语言,对基带信号进行MPS调制(这 里M=4一说明:调制信号说明如图6所示。信号yy“ 00 ”“01”“10”Fl载波相位090180270载波波形载波符号f3f2flf0图6调制信号说明3.1. 4 MPSK调制程序

16、仿真及注释血r.=J isummffmrammmrniwww iMMMimJWTOramiwwo JL 毗MlL r r-r L rrL LJ o血1 r、图7 MPSK调制y VHDLg序仿真全图%AtelkEtartLOyy图8 MPSK调制VHDLg序仿真局部放大图1Manic.叫ns1 USroelkSturt0空 矽4 二Vio 卷1 也0XITQ yy土j Q0 fA IA IA IA I人A IRWLB1 j wwLnMn j mnnnwLr iRnRnRwi nnrLmmnf1 rni !x i Mg, 5 % 厂一血 jc : (i;KT叩:,o葬I wWwwjcnxw Ta

17、&ffnwHTiwta-wwxTTweiwftxsXXXinIU他.OHEiflL. P ZVslue0 I图9 MPSK调制VHDL程序仿真局部放大图23. 1.5 MPSK调制程序RTL图E +j嘉,尸广-L-图11 MPSK调制RTL局部放大图1武汉理工大学FPGA原理及应用课程设计报告图12 MPSK调制RTL局部放大图23.2MPSK解调电路VHDL程序及仿真3.2. 1 MPSK解调方框图电路符号图中没有包含模拟电路部分,调制信号为数字信号形式。当调制为低电平时,译码器1根据q值,送入加法器XX相应的数据。 加法器把运算结果送到寄存器yy,译码2根据yy数据通过译码,输出并行信号y

18、yy,yyy再通过并/串转换,就可得到解调后的基带信y图13 MP SK解调方框图2武汉理工大学FPGA原理及应用课程设计报告3.2.2 MPSK解调电路符号riPSKaC=1 kSt ant X图14 MP SK解调电路符号3.2.3 MPSK解调程序及注释一文件名:MPSKo 一功能:基于VHDL硬件描述语言,完成对MPSKM制信号 的解调(这 里M=4o 一说明:解调信号说明如表8.14.3所示。将一个信号周期分成4份, 高电平权值分别为0、0、0、0,低电平权值分别为1、1、2、3o加法器XX0+0+2+3=50+1+2+0=31+1+0+0=2中间信号yyy“00,“01”“101武

19、汉理工大学FPGA原理及应用课程设计报告图15解调信号说明3.2.4 MPSK解调程序仿真及注释图16 MPSK解调VHDL程序仿真全图1武汉理工大学FPGA原理及应用课程设计报告2Vai 伽 1 EPSPS16C. 0 ns32C. 0 nz430. 0 nzMO. 0 HZfl00. 0 na zLk“13-23 q耳HIT+i yyJ ryyA 1A ,A 1A 1:Fo近 rrTxiTDx.可.虾.x厂TX屈亍X 0 乂丽面xn 了何炯湎Y而压 yr、苗a i LrLnammnnAnTL i rm 八 rmwLnLn n j TArm i nanAn j i n - nAr图17 MP

20、SK解调VHDL程序仿真局部放大图13 . 2. 5 MPSK解调程序RTL图图18 MPSK调制RTL全图图19 MPSK调制RTL局部放大图1武汉理工大学FPGA原理及应用课程设计报告2图20 MPSK调制RTL局部放大图24 .设计及实现过程中遇到的问题1、在正式开始编写程序的过程中,我遇到了很多困难,先是无法观 测到中间变 量fl、f2、q的时序仿真,经过上网百度和与同学讨论,发现选择View菜单中的 Utility Windows 项的 Node Finder , Filter 项选中 Pins:all&Registers:Post-fitting ,就可以调出程序中间变量的时序仿真

21、。2、在仿真的过程中,又一次发现问题,时序仿真波形的时间太短,经 过上网百 度和与同学讨论,知道了点击工具栏中的Tools选择Options。里面有个 选项是WaveFormEditor,是关于波形设置的,其中有个Default file end time,可以编辑 时序波形图的时长和单位 时长。3、在仿真的过程中,又一次发现 问题,时序仿真的波形结果与预想中的差别很 大,于是我检查程序,修改变量值, 反复调试,发现是输入变量x设置得不合理,经过调整后,最终实现预期的结果。5 .结论虽然在通信原理中已经学过了有关MPSK勺调制与解调,但是这次通武汉理工大学FPGA原理及应用课程设计报告过FPG

22、A这门课程设计,在Quartus II这个软件平台上进行MPS 口的调制与解调,让我对这些知识有了更加深刻的理解。通过MPSK系统调制与解调建模,以Quartus II 9.0软件为平台,基于VHDL语言,达到了预期的仿真结果。通过本次设计,了解了频移键控数字通信系统的用途 及工作原 理,熟悉了 FSK基于VHDL语言的设计步骤,提高了绘图能力,锻炼了设计实践和语言组织能力,培养了自己独立设计能力。在仿真的过程中走了很多弯路,但最终还是仿真成功了,这次设计 让我受益匪 浅。6 .参考文献1樊昌信,曹丽娜,通信原理(第6版).国防工业出版社2曾志民,现代调制解调器原理及其应用.人民邮电出版社3候

23、伯亨,顾新,VHDL硬件描述语言与数字逻辑电路设计.西安电子科技大学出版社1武汉理工大学FPGA原理及应用课程设计报告一系统时钟一开始调制信号基带信号调制信号计数器中间寄存器附录 MPSK调制VHDL程序调制程序如下:library ieee;use ieee. std_logic_arith. all;use ieee. std_logic_1164. all;use ieee. std_logic_ un sig ned. all;en tity MP SK is po rt (elk : in std_logic;start :in std_logic;:in std_logic;:ou

24、t std_logic);end MPSK;architecture behav of MP SK issig nal q:i nteger range 0 to 7;sig nal xx:std_logic_vector(1 dow nto 0);signal yy: std_logic_vector (1 down to 0) ;一2 位开彳丁码奇存器signal f: std_logic_vector (3 down to 0);一载波 f beginprocess(clk)通过对elk分频,得到4种相位;并完成基带信号的串并转换begi n1武汉理工大学FPGA原理及应用课程设计报告i

25、f elk eve nt and elk=1 the nif start-/O the n q=0;elsifq=Othenq=l;f(3)-r ; f(l) ; xx=x;yy二 xx;elsifthenq=3;f(2)= O) ; f(O)= T ;elsifthenq=5;f(3)= O ; f(l)= T ; xx(0) =x;elsifq=6thenq=7;f(2)= T ; f(O) =;else q=q+1;end if;end if;end p rocess;y=f (0) whe n yy=11 elsef (1) whe n yy=10 else f (2) whe n y

26、y=01 elsef(3);根据yy寄存器数据,输出对应的载波end behav;附录 MPSKB调VHDL程序解调程序如下:library ieee;use ieee.std_logic_arith. all;use ieee.std_logic_1164. all;use ieee. std_logic_ un sig ned. all;1武汉理工大学FPGA原理及应用课程设计报告en tity MPSK2 ispo rt (elk:in std_logic;start:in std_logic;:in std_logic;:out std_logic);end MP SK2;archit

27、ecture behav of MPSK2 issig nal q:i nteger range 0 to 7;sig nal xx:std_logic_vector(2 dow nto 0);sig nal yyy:std_logic_vector(1 dow nto 0);sig nal yy:std_logic_vector(2 dow nto 0);一系统时钟同步信号调制信号基带信号计数器一加法器2位并行基代信号寄存器寄存xx数据begi np rocess(elk)beginif elk eve nt and clkT the nif start-/O then q=0;elsif

28、q=0 the n q=l;yy, xx; y二yyy(O);把加法计数器的数据送入yy寄存器if x二0, then xx二001;调制信号X为低电平时,送入加法器的数据“。1”else xx=000; end if;2武汉理工大学FPGA原理及应用课程设计报告elsif q=2 the n q=3;if x-0, then xx. xx+001;调制信号X为低电平时,送入加法器的数据“001end if;elsif q=4 the n q=5; y=yyy; if x-0, then xx二xx+“010;调制信号X为低电平时,送入加法器的数据 “。1。” end if;elsif q=6

29、 the n q=7;if x-0 then xx二xx+0n;调制信号X为低电平时,送入加法器的数据“。11end if;else q=q+1;end if;end if;end p rocess;2P rocess(yy)此进程根据yy寄存器里的数据进行译码yy寄存器“ 101对应基带码“00-yy奇存器“01对应基带码01-yy奇存器-yy寄存器“01 (对应基带码100”应基带码“11”begin if elk- 1 and elk eve nt the nif yy=101 then yyy二“00;elsif yy=011 then yyy二01;elsif yy=010 then yyy二10; elsif yy-Z100 then yyy二else yyy/00”;end if;end if;end p rocess;end behav;武汉理工大学FPGA原理及应用课程设计报告本科生课程设计成绩评定 表姓名性别专业、班级课程设计题目:课程设计答辩或质疑记录:成绩评定依据:最终评定成绩(以优、良、中、及格、不及格评定)指导教师签字:2

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1