8位序列检测器的设计解读.doc

上传人:scccc 文档编号:13712048 上传时间:2022-01-22 格式:DOC 页数:21 大小:805KB
返回 下载 相关 举报
8位序列检测器的设计解读.doc_第1页
第1页 / 共21页
8位序列检测器的设计解读.doc_第2页
第2页 / 共21页
8位序列检测器的设计解读.doc_第3页
第3页 / 共21页
8位序列检测器的设计解读.doc_第4页
第4页 / 共21页
8位序列检测器的设计解读.doc_第5页
第5页 / 共21页
点击查看更多>>
资源描述

《8位序列检测器的设计解读.doc》由会员分享,可在线阅读,更多相关《8位序列检测器的设计解读.doc(21页珍藏版)》请在三一文库上搜索。

1、西华大学课程设计说明书八位序列检测器设计摘 要:序列检测器多用于通信系统中对禁用码的检测,或者是对所需信号的提取, 即一旦检测到所需信号就输出高电平,这在数字通信领域有广泛的应运。本文介绍了一 种采用单片PGA芯片进行脉冲序列检测器的设计方法,主要阐述如何使用新兴的EDA器件取代传统的电子设计方法,利用FPGA的可编程性,简洁而又多变的设计方法,缩短了研发周期,同时使设计的电路体积更小功能更强大。本次课程设计设计出能够检测序列“ 11010011”的序列检测器,并以此来描述序列检测器的设计过程和基于FPGA的软件仿真。最后通过 Quartusll的波形输出对设计方案进行检测,在硬件调试 经检测

2、输出正确设计符合要求。关键词:VHDL序列检测 Quartus n FPGAAbstract: Seque nee detector system used for com muni cati on on the detect ion code disabled, or is the extraction of the desired signal, that is, once detected, the required high output sig nal, which in the broad field of digital com muni cati ons to be tran

3、sported. This paper presents a single FPGA chip with the detector pulse sequenee design method, mainly on how to us e new device to replace the traditional EDA electronic design, the use of FPGAs programmability, concise and changing the design method shortens the development cycle, while allowing s

4、maller circuit design and more powerful. The curriculum is designed to detect sequenee 11010011 sequenee detectors, and detector in order to describe the sequenee of the desig n process and FPGA- based software simulatio n. Fin ally, the output of the waveform Quartusll design testing, debugging the

5、 hardware design has been tested and meet the requirements of the correct output.FPGAKeywords:VHDLSeque nee detectio n Quartusn西华大学课程设计说明书目录1前言11.1课题设计背景12. 总体方案设计22.1方案比较22.2两种方案的论证与比较33. 单元模块设计43.1序列信号发生器43.2序列检测器63.3计数器73.4 顶层文件设计 84系统调试与验证94.1待测序列的输入94.2时序仿真114.3结果分析125总结与体会136辞谢147参考文献15西华大学课程设

6、计说明书1前言1.1课题设计背景随着数字通信的广泛应用,可编程逻辑器件容量、功能的不断扩大,集成电路的设 计已经进入片上系统(SOC和专用集成电路(ASIC)的时代。由于硬件描述语言VHDL可读 性、可移植性、支持对大规模设计的分解和对已有设计的再利用等强大功能,迅速出现在各种电子设计自动化(EDA)系统中,先进的开发工具使整个系统设计调试周期大大地 缩短。利用硬件描述语言(如VHDL来完成对系统硬件功能的描述,在EDA工具的帮助下 通过波形仿真得到时序波形,这样就使得对硬件的设计和修改过程软件化,提高了大规 模系统设计的自动化程度。传统的脉冲序列检测器,它的实现方法是把一个算法转化为一个实际

7、数字逻辑电路 的过程。在这个过程中,我们所得到的结果大概一致,但是在具体设计方法和性价比上 存在着一定的差异,存在电路设计复杂,体积大,抗干扰能力差以及设计困难、设计周期 长等缺点。而利用FPGA乍为硬件电路,采用VHDL等硬件描述语言对硬件的功能进行编 程,加快了系统的研发进程,采用数字化的控制方式,大幅度提高了逻辑控制的精确度, 实时控制效果好,实践证明,FPGA芯片可以代替传统的复杂的电路, 而且可以大比例地 缩小了电路的硬件规模,提高了集成度,降低开发成本,提高系统的可靠性,为脉冲序 列检测器电路的设计开辟了新的天地。脉冲序列检测器在现代数字通信系统中发挥着重要的作用,通过中小规模的数

8、字集成电路构成的传统脉冲序列检测器电路往往存在电路设计复杂体积大、抗干扰能力差以及设计困难、设计周期长等缺点。因此脉冲序列检测器电路的模块化、集成化已成为发 展趋势,它不仅可以使系统体积减小、重量减轻且功耗降低、同时可使系统的可靠性大 大提高。随着电子技术的发展,特别是专用集成电路 (ASIC)设计技术的日趋完善,数字 化的电子自动化设计(EDA)工具给电子设计带来了巨大变革,尤其是硬件描述语言的出 现,解决了传统电路原理图设计系统工程的诸多不便。随着ASIC技术、EDA技术的不断完善和发展以及VHDL HDL等通用性好、移植性强的硬件描述语言的普及,FPGA等可编 程逻辑器件必将在现代数字应

9、用系统中得到广泛的应用,发挥越来越重要的作用。2总体万案设计通过查阅大量相关技术资料,并结合自己的实际知识,我们主要提出了两种技术方 案来实现系统功能。下面我将首先对这两种方案的组成框图和实现原理分别进行说明, 并分析比较它们的优劣。2.1方案比较2.1.1方案一工作原理:基于FPGA的多路脉冲序列检测器的设计方案,使用 VHDL语言设计时序 逻辑电路,先设计序列发生器产生序列:101101000110101Q再设计序列检测器,检测 序列发生器产生序列,若检测到信号与预置待测信号相同,则输出“ T,否则输出“0”, 并且将检测到的信号的显示出来。系统框图如图所示: 时钟输入模块)序列输入模块;

10、序列检测判断模块计数模块结果输出模块图2.12.1.2方案二工作原理:使用proteus软件进行仿真,先画出原始状态图和状态表,在根据状态 图使用D触发器,与门,或门以及非门等元件画出时序逻辑图,再根据结果译码,最后使用LED丁显示结果系统框图如图所示:图222.2两种方案的论证与比较第一种方案使用quartus软件进行仿真和验证,直接输入源代码比较简单方便,并 且还可以检测其他的序列,只需要修改一部分代码就可以实现。方案二使用proetus软件进行仿真和验证,需要先进行复杂的状态图分析,如果需 要检测的序列过长就会造成原理图连接过于复杂,不易实现。而且一旦原理图连接好久 只能检测一种序列,如

11、果要检测其他序列就要重新连图。通过比较发现第一种方案明显优于第二种方案,因此选择第一种方案。西华大学课程设计说明书3.单元模块设计主要介绍系统各单元模块的具体功能、电路结构、工作原理、以及各个单元模块之 间的联接关系;同时本节也会对相关电路中的参数计算、元器件选择、以及核心器件进 行必要说明。3.1序列信号发生器序列信号是指在同步脉冲作用下循环地产生一串周期性的二进制信号。利用状态机设计,首先定义一个数据类型 FSM_S它的取值为stO到st15的16个状态。REGs0s1s2s3s4s5s6s7Q10110100REGs8s9s10s11s12s13s14s15Q01101010表3.1序列

12、信号发生器的代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY SHK ISPORT (CLK,RST :IN STD_LOGIC; CO :OUT STD_LOGIC );END SHK;ARCHITECTURE behav OF SHK ISTYPE FSM_ST IS (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15);SIGNAL REG:FSM_ST;SIGNAL Q:STD_LOGIC;BEGINPROCESS(CLK,RST)BEGINIF RST =1 THEN R

13、EG=s0;Q Q=1; REG Qv=0;REG Qv=1;REG Q=1;REG Qv=0;REG Q=1;REG Qv=0;REG Q=0;REG Qv=0;REG Q=1;REG Q=1;REG Q=0;REG Q=1;REG Q=0;REG Q=1;REG Q=0;REGREG=s0;Qv=0;END CASE;END IF;END PROCESS; COCLKB 0一 rLrTrLrTrLrLrLarLrLrLrLrLarLrTrLrLrLnriilliiiiiiiiiiiiiillliiiiaan iilllliOfRSTB 0竺COE 01.111III111i 1111 iI

14、iIIiii111iiii11iii4J11111li1bIdJ111IlkLbllJJ1111111bB11J11i a i i i i i i i n r i n qi i i i n 4 i i 11111 h a 111图3.23.2序列检测器脉冲序列检测起可用于检测一组或多组二进制码组成的脉冲序列信号,当序列检测器连续接收到一组穿行二进制码后,如果这组码与检测器中预先设置的码相同,则输出 1,否则输出0。由于这种检测的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及正确的序列,直到连续的检测中所收到的每一位码都与预置 数的对应码相同。在检测过程中,任何一位不相

15、等将回到初始状态重新开始检测。 序列检测器的代码如下:library ieee;use ieee.stdo gic_1164.all;en tity SCHK1 isport(datai n,clk:in stdo gic;t: in std_logic_vector (4 dow nto 0);q:out std_logic;cq: out std_logic_vector (4 dow nto 0);end SCHK1;architecture rt1 of SCHK1 issignal reg:stdogic_vector(4 downto 0);beg inprocess(clk)va

16、riable t1:stdogic_vector (4 downto 0);beg inif clkevent and clk=1 thenreg(0)=data in;reg(4 dow nto 1)=reg(3 dow nto 0);end if;t1:=t;if reg=t1 the n qv二1 ; else q0);ELSIF CLKEVENT AND CLK=1 THENIF EN=1 THENIF CQI0);END IF;END IF;END IF;IF CQI=153 THEN COUT=1;ELSE COUT=0;END IF;Q1=CQI(3 DOWNTO 0); Q2=

17、CQI(7 DOWNTO 4);END PROCESS COUNT; END ARCHITECTURE ONE;转化成可调用的元件:图3.5波形仿真如下:图3.63.4顶层文件设计通过前面的准备,我们已经得到了全部所需要的 3个模块,即序列信号发生器、序 列检测器、计数器。在此,我们运用原理图法来生成顶层实体。具体的实现方法是,将上述3个模块,通过我们的设计软件,生成可以移植,调用 的原理图文件,在将其在顶层设计中直接调用即可 本次设计生成的顶层实体如下图所示:图3.7西华大学课程设计说明书4系统调试与验证4.1待测序列的输入输入检测的8位序列“ 11001011”程序如下:library i

18、eee;use ieee.std_logic_1164.all;en tity SCHK1 isport(din,clk,clr: in std_logic;pre_load : in std_logc_vector(7 dow nto 0);ab : out std_logic_vector(3 dow nto 0);end SCHK1;architecture behav of SCHK1 issig nal q : in teger range 0 to 8;signal d : stdogic_vector(7 downto 0);beg inD=pre_load;-置入待检测序列pr

19、ocess (clk, clr)beg inif clr=1 then q if din=d(7) the n qv=1;else q if din=d(6) the n qv=2;else q if din=d(5) the n qv=3;else q if din=d(4) the n qv=4;else q if din=d(3) the n qv=5;else q if din=d(2) the n qv=6;else q if din=d(1) the n qv=7;else q if din=d(0) the n qv=8;else qv=O;e nd if; whe n othe

20、rs = q=0;end case;end if;end process; process(q) beg inif q=8 the n ab=1;else abEl IWelk-clriiiLFl dbB 0B SViloe atIT.蕩 n-17.275 m-1 PS17. 5 ns J252 BIn tei vat235.53 nsBtaitErd:640.(0 ns960.80 nsl.zp usU.S】.睥us2.:卑usTlooioil320.0 ns.rLrLrLrLrLrLrLrLrLrLrLrLrLrLrLrLrLrLTLrLrLrLrLrLrLrLrLrLrrn rJ-L_仿

21、真结果:M$ter Time Bv17.275 ns* * Poimef;2B81G6 nsInteivat251.39risstwi:EM叫 ps640.0 ns1.2B aiL92 u=2.56 u=3gpMam皂17. 28 he 1T-275 血J15/ 国 pre_. B 1100101 i11001011elkI ,/uuvuuuuvirLruuuumjuuumjuuumjuuinnjumnnjuumrclrB 01 1 1 o ,r1_1L_ruL_rL_rn砂 +) abH B :B .NBii a i BI 1 1 ii J i i a i i i i i i i i a i

22、 i i 1 i M II 1 1 li 1 I nil nil1k19图4.34.3结果分析:1. 根据序列检测器的输出端q可以看出,当检测器检测到串行信号与预置的序列 信号相同时,q则输出“A”,没有检测到,q则输出“ B;2. 根据计数器的输出端Q1可以看出,Q1将序列检测器检测到的序列信号的数目显 示出来。3. 通过仿真结果还可以看到,输出的波形出现了一些毛刺,这是因为信号在FPGA器件中通过逻辑单元连线时,一定存在延时。延时的大小不仅和连线的长短和逻辑单元 的数目有关,而且也和器件的制造工艺、工作环境等有关。因此,信号在器件中传输的 时候,所需要的时间是不能精确估计的,当多路信号同时

23、发生跳变的瞬间,就产生了“竞 争冒险”。这时,往往会出现一些不正确的尖峰信号,这些尖峰信号就是“毛刺”。-Jim图4.2西华大学课程设计说明书5总结与体会经过这次课程设计的学习,我确实学习了很多知识,真正的感受到了理论联系实际 的重要性,以及这之间莫大区别,至原后看着自己的结果心里还是感到很欣慰的。具体 做了以下几项工作:1. 查找相关资料,了解EDAJ术的发展及优点,同时详细分析了利用可编程逻辑器 件来设计脉冲序列检测器的优势。2. 简要分析了 FPJA器件的特征和结构,详细介绍了 FPGA设计流程,同时详细介 绍了硬件描述语言VHDL及其特点。3. 对序列检测器原理进行了详细的了解,并详细

24、介绍了序列信号发生器、序列检 测器及计数器的设计,最终完成设计的要求。回顾起此次课程设计,自从拿到题目到完成整个编程,从理论到实践,在整整一Z周的时间,可以学到很多很多的东西,同时不仅可以巩固了以前所学过的知识,而且学 到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结 合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来, 从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的 能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇 到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对一些

25、前面学过的 知识理解得不够深刻,掌握得不够牢固,通过这次课程设计之后,我把前面所学过的知 识又重新温故了一遍。西华大学课程设计说明书6辞谢在此次课程设计完成之际,我要向曾经给予我帮助的老师和同学表示深深的谢意, 感谢老师的耐心指导和同学的帮助。郭老师以其丰富的知识和经验指导我们的课程设计作业,从他那里我学到了很多专 业知识和科学的研究方法,在电路设计过程中,我多次遇到问题,郭老师每次都耐心的 讲解,使我可以及时改进并顺利完成设计,在此谨向他表示最诚挚的敬意和谢意!其次,非常感谢同组的同学在课程设计期间给予我的帮助,他们给了我更多的支持 和鼓励,让我更加自信地投入到课程设计中,使得本次的课程设计

26、能如期完成,真的非 常感谢他们。西华大学课程设计说明书7参考文献1 潘松等 EDA技术使用教程(第三版)M.北京:科学出版社,20062 王金明,周顺.数字系统设计与VHDLM.北京:电子工业出版社,20103 刘欲晓.EDA技术与VHDL技术M.北京:电子工业出版社,2009.44 赵明富,李立军,等.EDA技术基础M.北京:北京大学出版社,2007 卢毅,赖杰.VHDL与数字电路设计M.北京:科学出版社,20016 顾斌.数字电路EDAM.西安:西安电子科技大学出版社,20047 黄正瑾.CPLD系统设计技术入门与应用M.北京:电子工业出版社,2002齐亮.FPGA设计及应用M.西安:西安电子科技大学出版社,2004EqualOibp.CIfUJqS西华大学课程设计说明书附录1dkl clr_亠MuxD(1 祜_-curMux 11Kt-q j irYMjx2hAjx3OL|:1 D|Al JM a3 U*our

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1