八路彩灯控制程序.doc

上传人:scccc 文档编号:14049550 上传时间:2022-01-31 格式:DOC 页数:9 大小:113.50KB
返回 下载 相关 举报
八路彩灯控制程序.doc_第1页
第1页 / 共9页
八路彩灯控制程序.doc_第2页
第2页 / 共9页
八路彩灯控制程序.doc_第3页
第3页 / 共9页
亲,该文档总共9页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《八路彩灯控制程序.doc》由会员分享,可在线阅读,更多相关《八路彩灯控制程序.doc(9页珍藏版)》请在三一文库上搜索。

1、FPGA课程设计8路彩灯控制程序2013年12月12日8路彩灯控制程序一、设计任务1. 设计目的:(1)了解及掌握时序电路及组合电路的基本结构常用数字电路(2)通过ModelSim软件编写组合电路与时序电路混合的程序进行仿真和调试。(3)74LS194移位寄存器和74LS161计数器的使用。2. 设计内容:编写一个8路彩灯控制程序,要求彩灯有以下 3种演示花型。(1)8路彩灯同时亮灭;(2)从左至右逐个亮(每次只有 1路亮);(3)8路彩灯每次4路亮,4路灯灭,且灯灭相同,交替亮灭;在演示过程中,只有当一种花型演示完毕才能转向其他演示花型。二、设计方案论证74LS161 是四位二进制同步加数器

2、,74LS194是一个4位双向移位寄存器,它具有左移, 右移,保持,清零等逻 辑功能,八路彩灯控制器通过利用双向移位寄存器 74LS194的串行输 入,个并行输8出端控制彩灯;双向移位寄存器74LS194的控制端S仁0,S0=1时,进行右 移;S1=1,S0=0时,进行左移;十六位计数器74LS161可以从0000到1111进行计数. 利用十六位计数器74LS161的功能实现自动循环(1)8路彩灯同时亮灭:111111110000000011111111(2)从左至右逐个亮(每次只有1路亮);111111110111111110111111110111111110111111110111111

3、110111111110111111110(3) 8路彩灯每次4路亮,4路灯灭,且灯灭相同,交替亮灭111111110000111111110000三、结构及其工作原理1.结构框图:2.电路的原理图:3. 电路工作原理:74LS161是四位二进制同步加数器,74LS194是一个4位双向移位寄存器,它具有左移,右 移,保持,清零等逻 辑功能,八路彩灯控制器通过利用双向移位寄存器 74LS194的串行输入, 个并行输8出端控制彩灯;双向移位寄存器74LS194的控制端S仁0,S0=1时,进行右移;S1=1,S0=0时,进行左移;十六位计数器74LS161可以从0000到1111进行计数.利用 十六

4、位计数器74LS161的功能实现自动循环四、主要元件1.数字电路实验箱1台2.74LS161 芯片 1 片3.74LS194 芯片 2 片4. 导线若干五、仿真过程及结果新建工程及文件,分别添加设计程序及测试程序,进行编译及纠错,编译通过后运行程序仿真进行调试得出结果。设计模块:module caide ng(clk,ledout,reset);in put reset,clk;output7:0 ledout;in teger i;reg7:0 ledout;reg2:0 count;reg4:0 cou nt2;reg clkflag;reg1:0 in;always (posedge c

5、lk)beg inif(!reset)coun t=0;else if(co un t=3)beg inclkflag=0;coun t=co un t+1;endelse if(co un t7)beg inclkflag=1;coun t=co un t+1;endelse if(co un t=7)beg inclkflag=1;coun t=0;endelsebeg inclkflag=1;coun t=co un t+1;endendalways (posedge elk)beg inif(!reset) coun t2=0;else if(co un t2=7)beg inin=2

6、b00;coun t2=co un t2+1;endelse if(cou nt2=15)beg inin=2b01;coun t2=co un t2+1;endelse if(cou nt223)beg inin=2b10;coun t2=co un t2+1;endelse if(cou nt2=23)beg inin=2b10;coun t2=0;endelsebeg inin=2bZZ;coun t2=0;endendalways(clkflag or count or in or reset)if(!reset)IedoutiL JJM IB J七、课程设计体会通过整个电路设计与制作

7、的整个过程 ,掌握了 组装与调试 方法熟悉了中,小规模集 成电路的使用通过理论与实践的结合,进一步深入的体会到一种学习的方法,特别是对与电子设计方面首先要明确总体的设计方案与方法;其次是对各个部分进行设计 与改进;最后 将各个部分整合在一起进行比较,观察在流水灯实验设计当中遇到的首要问题有三个:一是 电路的总体设计问题;二是电路的焊接问题;三是电路的调试问题.基于所学数字电路知识的 局限性,在选择元器件方面有所困难,开始无从下手应该确定使用何种元件通过查找资 料 等过程首先确定了元件,从而确定了总电路图由于初次进行焊接工作,所以 在电路焊接的时 候造成了许多虚焊,导致电路无法正常运行加重了电路调试的 作业量总的来说,流水灯的 课程设计有利于培养我们对电子设计的兴趣 ,是一次很 好的理论与实际的结合,希望能有更 多机会进行这些课程设计八、参考文献1 数字逻辑与数字统计(第三版),王永军,李景华,电子工业出版社2 电子技术实验与课程设计(第二版),毕满清,机械工业出版社.3 数字逻辑电路学习与实训指导,梅开乡,电子工业出版社.

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1