出租车自动计费系统EDA技术课程.doc

上传人:scccc 文档编号:14099075 上传时间:2022-02-01 格式:DOC 页数:18 大小:463KB
返回 下载 相关 举报
出租车自动计费系统EDA技术课程.doc_第1页
第1页 / 共18页
出租车自动计费系统EDA技术课程.doc_第2页
第2页 / 共18页
出租车自动计费系统EDA技术课程.doc_第3页
第3页 / 共18页
亲,该文档总共18页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《出租车自动计费系统EDA技术课程.doc》由会员分享,可在线阅读,更多相关《出租车自动计费系统EDA技术课程.doc(18页珍藏版)》请在三一文库上搜索。

1、东北石油大学课程设计课 程_EDA技术课程设计题 目出租车自动计费系统院 系电子科学学院专业班级电子信息工程学生姓名 学生学号0指导教师东北石油大学课程设计任务书课程EDA技术课程设计题目出租车自动计费系统专业 电子信息工程 学号0主要容、基本要求、主要参考资料等设计一个最大量程为99.99元的出租车自动计费,计费器具有行车里程计费、等候时间计费及起价三 部分功能,并用数码管显示车费的数目。基本要求:1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管 显示总金额,最大值为 99.99元;2、 行车里程单价1元/公里,等候时间单价0.5元/10分钟,起价3元

2、(3公里起价)均能通过人工输入。3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1.0元/公里,则脉冲当量为0.01元/脉冲。4、用LED显示行驶公里数,两个数码管显示收费金额。主要参考资料:1 松著.EDA技术实用教程(第二版).:科学,2005.2 康华光主编.电子技术基础 模拟部分.:高教,2006.3 阎石主编.数字电子技术基础.:高教,2003.完成期限指导教师专业负责人2011年3

3、月11日、总体设计思想1.基本原理本次设计首先在 Quartus H环境中对出租车自动计费器的各个部分利用 VHDL这一硬件描述语言予以设计,生成模块。而整个设计的核心部分就在分频/ 计量模块,该模块完成的功能主要包括计费脉冲的产生,等待计时、计价、计 程功能。随后运用Quartus H中的仿真功能对其予以仿真,从仿真的结果中分析 程序的正确性。待所有模块的功能正确之后,运用原理图搭建顶层电路并进行 整体仿真直至达到最初的设计要求,最后再在实验箱上检验设计的正确与否。基于CPLD的出租车计费器的组成如下图所示。各部分主要功能包括:信号 输入模块对车轮传感器传送的脉冲信号进行计数 (每转一圈送一

4、个脉冲),并以 高低脉冲模拟出租汽车启动、停止、暂停、加速按钮,具有输入信号作用;数 据转换模块将计费模块输出的车费和路程转换成4位的十进制数据;译码/动态扫描模块将路程与费用的数值译码后用动态扫描的方式驱动数码管;数码管 显示模块将公里数和计费金额均用 4位LED数码管显示(2位整数,2位小数)。2.设计框图上电写入程序,后由 RST清零)信号置低电平开始。分别控制计时和计程EN开始或停止计费。计程和计费中每km或分钟发一次脉冲给计费模块。 这三个 模块的数据处理信息通过总线输送到显示模块。进行译码。译码输出位选和七段数码管显示信息。由外围电路:74IS138和八位七段数码管显示。前3位金额

5、BCD码比 例乘法器等车时间F,实际可以使用特殊的数码管显示元。等车单价起步价脉冲数求和译码器显示器二、设计步骤和调试过程1、总体设计电路计程模块是 2个 100进制计数器,输入的每 10米一次脉冲经一次 100进制 计数获得每km次的脉冲,由100进制计数输出为2个4位2进制BCD码。接 显示模块,得到计程( 0-99km)。计时部分:计算乘客的等待累计时间。等候时间计费需将等候时间转换成 脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如 100 个脉冲 表示 10 分钟,而 10 分钟收费 0。 5 元,则脉冲当量为 0。 05 元/ 脉冲,如果将 脉冲当量设置成与行车里程计费相

6、同( 0。 01 元/脉冲),则 10 分钟的脉冲数应 为 500个。计程部分:计算乘客所行驶的公里数。计程器的量程为99km满量程后自动归零。显示模块输入计费、计时,计程数据总线通过扫描脉冲选择一个BCD码进行译码,每扫描一次进行一位显示。所以扫描脉冲适当取高一点。考虑视觉暂 留25帧乘8得200Hz以上为好。实际实验中使用了 5859Hz其他RST言号,每10米,1Hz, 74ls38三位八线选择器,八位七段数码管 由外围设备和电路提供。1J rCnJlAy|“gil爵 irHIK W3PDTT114-n4詔3aH砂&甘丹卄哼j再FizPii存LM|I Bitj a CC冈 3乩rwli

7、rw2 nwli GW D CMtiubkLkCM2 2|1 (W5I0 ckwH CKI mih 范 HI H uniB n w in -isnftl:i Dilin r團:i Kill: Imu:- npj:it 卜1=3_2、模块设计和相应模块程序2.1计数器原理:通过分别设计计程计费计时模块完成各自功能。2.2计程模块原理使用4位十进制计数器封装成为8位一百进制计数器。对于外部每10米的 里程脉冲,经计数可以每km输出进位脉冲一次。再用一百进制计数器对其进行 计数。通过对计数输出信号的译码可以得到里程显示。2.3计时模块原理使用1Hz的外部脉冲信号,使用5位60进制计数器。可以得到每分

8、钟一次 的脉冲输出。再使用一百进制计数器对其进行计数。通过对计数输出信号的译 码可以得到时间显示。2.4计费模块原理计费模块是设计中的关键模块,也是最难的模块。逻辑复杂,要求多使用前两模块中的分钟信号作为时间输入, 千米信号作为路程输入。 使用 2 个特殊编写的10进制计数器对时间和里程计数。并且在里程计数设置ENT输出, 在计费小于 3 元是钳制角金额输出为零。但是角计费照常只是不显示。在金额 超过 3 元时显示。再使用一十进制计数器进行十元计数。2.5 显示模块原理通过使用 74ls138 和七段数码管进行显示和显示位选择。 这要求输出使用 3 位输出为位选, 对其译码得到数码管地址, 7

9、 位数据在相应数码管上显示相应的 数据。2.6 综合通过VHDL和原理图的混合设计完成对各模块的编写,连接。时间.LIBRARY IEEE;ENTITY TAXI ISPORT(CLK:IN STD_LOGIC;START:IN STD_LOGIC;STOP:IN STD_LOGIC;FIN:IN STD_LOGIC;CHA3,CHA2,CHA1,CHA0: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);KM1,KM0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);MIN1,MIN0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);EN

10、D TAXI;ARCHITECTURE BEHAVE OF TAXI ISSIGNAL Q_1:INTEGER RANGE 0 TO 99;SIGNAL W:INTEGER RANGE 0 TO 59;SIGNAL C3,C2,C1,C0:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL K1,K0 :STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL M1:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL M0:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL TEMP0,TEMP1 :STD_LOGIC_

11、VECTOR(3 DOWNTO 0);SIGNAL F_1:STD_LOGIC;SIGNAL EN0,EN1:STD_LOGIC;BEGINFEIPIN:PROCESS(CLK,START)BEGINIF CLKEVENT AND CLK=1 THENIF START=0 THENF_1=0;Q_1=0;ELSEIF Q_1=99 THENQ_1=0;F_1=1;ELSEQ_1=Q_1+1;F_1=0;END IF;END IF;END IF;END PROCESS;PROCESS(FIN)BEGINIF FINEVENT AND FIN=1 THENIF START =0 THENK1=00

12、00;K0=0000;ELSIF STOP =0 THENIF K0=1001 THEN K0=0000;IF K1=1001 THEN K1=0000;ELSEK1=K1+1;END IF;ELSEK0=K0+1;END IF;END IF;END IF;END PROCESS;PROCESS(F_1)BEGINIF F_1EVENT AND F_1=1 THENIF START =0 THENW=0;M1=0000;M0=0000;ELSIF STOP =1 THEN IF W=59 THENW=0;IF M0=1001 THEN M0=0000;IF M1=0101 THEN M1=00

13、00;ELSE M1=M1+1;END IF;ELSEM0=M0+1;END IF;ELSEW=W+1;END IF;END IF;END IF;END PROCESS;PROCESS(CLK)BEGINIF CLKEVENT AND CLK=1 THENIF START =0 THENEN0=0;EN1=0;ELSIF STOP =0 THENEN000000011 THENEN0=1;ELSEEN0=0;END IF;ELSIF STOP =1 THENEN000000010 THENEN1=1;ELSEEN1=0;END IF;END IF;END IF;END PROCESS;PROC

14、ESS(CLK,START)VARIABLE C13,C15:INTEGER;BEGINIF START=0 THENC3=0000; C2=0000; C1=0101; C0=0000;C13:=0; C15:=0; TEMP0=0000;TEMP1=0000;ELSIF CLKEVENT AND CLK=1 THENIF (EN0=1) THENIF (C1313) THENC13:=C13+1;IF (C13=1) THENTEMP0=K0;END IF;IF C0=1001 THENC0=0000;IF C1=1001 THENC1=0000;IF C2=1001 THENC2=000

15、0;IF C3=1001 THENC3=0000;ELSEC3=C3+1;END IF;ELSEC2=C2+1;END IF;ELSEC1=C1+1;END IF;ELSEC0=C0+1;END IF;ELSIF(K0/=TEMP0) THEN C13:=0;END IF;ELSIF(EN1=1) THENIF(C1515) THENC15:=C15+1;IF(C15=1) THENTEMP1=M0;END IF;IF C0=1001 THENC0=0000;IF C1=1001 THENC1=0000;IF C2=1001 THENC2=0000;IF C3=1001 THENC3=0000

16、;ELSEC3=C3+1;END IF;ELSEC2=C2+1;END IF;ELSEC1=C1+1;END IF;ELSEC0=C0+1;END IF;ELSIF(M0/=TEMP1) THEN C15:=0;END IF;END IF;END IF;END PROCESS;PROCESS(F_1)BEGINIF F_1 EVENT AND F_1=1 THENIF START =0 THENMIN1=0000; MIN0=0000;KM1=0000; KM0=0000;CHA3=0000;CHA2=0000;CHA1=0000;CHA0=0000;ELSEMIN1=M1;MIN0=M0;K

17、M1=K1;KM0=K0;CHA3=C3;CHA2=C2;CHA1=C1;CHA0OI;I CTICTTC1H-1勺-后 iMjCIJBJ 住 IM血iHBac*y I it Fiw * DgTilJfe-muPrvNi 国I em cwiai OO3|:L亠匸IInwdaiTTFFKI1*MiEWJ10 D u:ir fre m电処如辿 口包包;】 强BH;也做1)阿辿远帖世也屯f iauo :; u”af*iay nn丁 11丁石11厂面 琢丫 血:血国-.Lm u kt Tibi Bit1i Rli5 naJ| Jvi 1r_ # St*rKEGLDCJll* 1-lpKf T亦30.

18、. & 3匹泌匪西 H1:辿丄砂吵时疋妇冷3 丄虽三nLrTTLnjLTLTLr cnzmzrcnznziznzQc):51 J:丨 I II :中;门 LIL f X :)!l I i EK 囿-1/AfWZIPS/TAJ 1/Till - TAIIT.-eef:-ebI flJ*、i Tbh fj Inih(Itt us It 盒CLVic Fiflpqir Ftvps:mu ry車 辱4TlWJ|*Tm ar Ttfl rmLli甲4vf.W*a fturlts IL IJL *jrrwf nelad陶却 Vb-trajr rrfWtHirnce-iEsrl D hst we 0 TKr

19、nLi n c /Zi |E51仿真图tui | f&ettn.nu沁 atiiik! irroinrik-3鼻韶31H砂Q甘W巴国Fh| 叶忖1竹|疑丿*春I匚* 0 导2IIlF i F*P*!*F WW*P 中呻-4LnF lriiiPtf,i:UFlEl Tin Tddn IPlI 止阿=*!Inh QgrliLS XI T.TL VLr统 t ltfxurli 护 fjaws rrsjr*3呼 -M R P*! ;r讀fhorcn 一4、实验调试结果由仿真图可知,该程序可以实现任务书中所要求的计费系统的功能,包括 行车里程计费、等候时间计费及起价三部分,并通过加法器计算出总费用。三、

20、结论及心得体会这次的课程设计令我收获颇丰。 设计的核心容就是Quartus H环境中,利用 VHDL语言设计出基于CPLD勺出租车自动计费器。整个设计过程中首先对数字电 路这门课程有了更深的了解,因为课程设计本身要求将以前所学的理论知识运 用到实际的电路设计当中去,在电路的设计过程中,无形中便加深了对数字电 路的了解及运用能力,对课本以及以前学过的知识有了一个更好的总结与理解; 以前的数字实验只是针对某一个小的功能设计,而此次得EDA课程设计对我们的总体电路的设计的要求更严格,需要通过翻阅复习以前学过的知识确立了实 验总体设计方案,然后逐步细化进行各模块的设计;其次,在电路仿真的过程 中总会出

21、现一些问题,需要我们细心解决,所以这两周下来,我对电路故障的 排查能力有了很大的提高;再次,通过此次课程设计,我对设计所用到的软件有了更加深刻地了解,这对我们以后的工作和学习的帮助都很有用处。感学校给我们这次机会,锻炼了我们的动手能力。通过这次课设让我明白 了理论和实际操作之间差距,而且也让我很明确得意识到自己在数电上有很多 的知识漏洞,以后应该多钻研一下。同时也感指导老师在设计过程中的辅导以 及同学的帮助。参考资料主要参考资料:1 松著.EDA技术实用教程(第二版).:科学,2005.2 康华光主编 . 电子技术基础 模拟部分 . :高教,2006.3 阎石主编 . 数字电子技术基础 . :

22、高教 ,2003.4 钟问耀,EW&路设计入门与应用,清华大学,20005 康华光,电子技术基础,高等教育, 2000石油学院课程设计成绩评价表课程名称EDA技术课程设计题目名称出租车自动计费系统学生学号指导教学号师职称序号评价项目指标满分评分1工作量、工作态度和出勤率按期圆满的完成了规定的任务,难易程度和工作 量符合教学要求,工作努力,遵守纪律,出勤率 高,工作作风严谨,善于与他人合作。202课程设计质量课程设计选题合理,计算过程简练准确,分析问 题思路清晰,结构严谨,文理通顺,撰写规,图 表完备正确。453创新工作中有创新意识,对前人工作有一些改进或有 一定应用价值。54答辩能正确回答指导教师所提出的问题。30总分评语: Jj IK- i P* *P*W*FWW*F+ F4卜 9 LuF I jljiii Qv wi.41i fr #FmFomLRf胡 ikr c fAi ,iHirr jsrir TH rwn yM jst* 阳 Inf S.ts I T.TL VLw-vf 出 丁荻沁1,辍 14rrijfM! st-sSiu Ktui (J yr” 二.0 u*aa 回

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1