函数信号发生器的组装与调试(Word).doc

上传人:doc321 文档编号:14935351 上传时间:2022-02-25 格式:DOC 页数:6 大小:402KB
返回 下载 相关 举报
函数信号发生器的组装与调试(Word).doc_第1页
第1页 / 共6页
函数信号发生器的组装与调试(Word).doc_第2页
第2页 / 共6页
函数信号发生器的组装与调试(Word).doc_第3页
第3页 / 共6页
函数信号发生器的组装与调试(Word).doc_第4页
第4页 / 共6页
函数信号发生器的组装与调试(Word).doc_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《函数信号发生器的组装与调试(Word).doc》由会员分享,可在线阅读,更多相关《函数信号发生器的组装与调试(Word).doc(6页珍藏版)》请在三一文库上搜索。

1、函数信号发生器的组装与调试一、实验目的 1、 了解单片多功能集成电路函数信号发生器的功能及特点 2、 进一步掌握波形参数的测试方法二、实验原理 1、 ICL8038是单片集成函数信号发生器,其内部框图如图91所示。它由恒流源I1和I2、电压比较器A和B、触发器、缓冲器和三角波变正弦波电路等组成。图91 ICL8038原理框图 外接电容C由两个恒流源充电和放电,电压比较器A、B 的阈值分别为电源电压(指UCCUEE)的2/3和1/3。恒流源I1和I2的大小可通过外接电阻调节,但必须I2I1。当触发器的输出为低电平时,恒流源I2断开,恒流源I1给 C充电,它的两端电压uC随时间线性上升,当uC达到

2、电源电压的2/3时,电压比较器A的输出电压发生跳变,使触发器输出由低电平变为高电平,恒流源I2接通,由于I2I1(设I22I1),恒流源I2将电流2I1加到C上反充电,相当于C由一个净电流I放电,C 两端的电压uC 又转为直线下降。当它下降到电源电压的1/3时,电压比较器B的输出电压发生跳变,使触发器的输出由高电平跳变为原来的低电平,恒流源I2断开,I1再给 C充电,如此周而复始,产生振荡。若调整电路,使I22I1, 则触发器输出为方波,经反相缓冲器由管脚输出方波信号。C上的电压uC, 上升与下降时间相等,为三角波,经电压跟随器从管脚输出三角波信号。将三角波变成正弦波是经过一个非线性的变换网络

3、(正弦波变换器)而得以实现,在这个非线性网络中,当三角波电位向两端顶点摆动时,网络提供的交流通路阻抗会减小,这样就使三角波的两端变为平滑的正弦波,从管脚输出。2、ICL8038管脚功能图1 / 6图92 ICL8038管脚图3、实验电路如图93所示图93 ICL8038实验电路图三、实验设备与器件 1、 12V直流电源 2、 双踪示波器3、 频率计 4、 直流电压表5、 ICL8038 6、晶体三极管3DG121(9013)电位器、电阻器、电容器等四、实验内容 1、 按图93所示的电路图组装电路,取C0.01f,W1、W2、W3、W4均置中间位置。 2、 调整电路,使其处于振荡,产生方波,通过

4、调整电位器W2, 使方波的占空比达到50。 3、 保持方波的占空比为50不变,用示波器观测8038 正弦波输出端的波形,反复调整W3,W4,使正弦波不产生明显的失真。4. 调节电位器W1,使输出信号从小到大变化,记录管脚8的电位及测量输出正弦波的频率,列表记录之。 5、 改变外接电容C的值(取C0.1和1000P), 观测三种输出波形,并与C0.01f时测得的波形作比较,有何结论? 6、 改变电位器W2的值,观测三种输出波形,有何结论?7、 如有失真度测试仪,则测出C分别为0.1f,0.01f和1000P时的正弦波失真系数r值(一般要求该值小于3)。五、实验现象根据仿真软件得到以下几组输出波形

5、图:6、 实验总结 1、根据C0.1f,C0.01f,1000P时所观测到的方波, 三角波和正弦 波的波形图,从中可以得出以下结论: 如果改变方波的占空比,则三角波和正妶波的波形会失真,失真度将会随着占空比的改变而改变。 2、函数信号发生器可以实现以下功能:(1)输出方波、正弦波和三角波信号。(2)输出信号频率可调 3、实验误差分析: 可能由于硬件误差、测量误差、杂散引入的误差,可以改进实验电路,提高仪器精 度来减小误差。4、 实验心得: 根据此次实验我发现还存在许多问题,比如:操作不熟悉,对仿真软件的陌生,导致在实验过程中遇到许多问题,之前还出现波形失真现象,主要是没有正确的调整滑动变阻器,但经过这次实验后,让我懂得我对仿真软件的使用不熟练,这需要我以后继续学习。 友情提示:方案范本是经验性极强的领域,本范文无法思考和涵盖全面,供参考!最好找专业人士起草或审核后使用。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1