CPU系统的设计与实现.doc

上传人:吴起龙 文档编号:1578790 上传时间:2018-12-25 格式:DOC 页数:6 大小:16.74KB
返回 下载 相关 举报
CPU系统的设计与实现.doc_第1页
第1页 / 共6页
CPU系统的设计与实现.doc_第2页
第2页 / 共6页
CPU系统的设计与实现.doc_第3页
第3页 / 共6页
亲,该文档总共6页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《CPU系统的设计与实现.doc》由会员分享,可在线阅读,更多相关《CPU系统的设计与实现.doc(6页珍藏版)》请在三一文库上搜索。

1、CPU系统的设计与实现Design and Implementation of CPU System LIN Xiang (Computer and Information Department of Fujian Police College, Fuzhou 350007, China) Abstract:A model of CPU system design based on FPGA technology is presented in this paper. This system meets the needs of the comprehensive experimental c

2、ourses such as EDA, Computer System Architecture, Microcomputer Principle and Assembly Language and so on. The design adopts synthesized VHDL to describe the structure and instruction of a simple 16bit CPU, and was simulated with Quartussoftware. Some wave simulation graphics are demonstrated in thi

3、s paper. Key words:CPU; FPGA; VHDL; Quartus 引言 计算机的发展经历了60多年的突飞猛进,作为其核心部件的微处理器(CPU)已从80X86时代迈进了多核的高速时代。CPU基础知识一直是计算机组成原理、微机原理与汇编语言等课程的重点和难点,学生在学习相关内容时,往往觉得抽象难懂。通过学习并设计CPU系统不仅可以深化学生对CPU工作机制的理解,同时也是对专业基础知识学以致用的综合考验。笔者介绍的CPU设计方法具有可延展性,可以根据设计者的需求进行灵活扩充,适用于作为计算机类专业的课程设计项目。 一、CPU的结构及功能 (一)CPU的结构 CPU的设计采用冯

4、•诺依曼结构,CPU主要由控制器、运算器(ALU)和若干寄存器组成,程序和数据共享同一总线和存储器1。 图1冯•诺依曼结构的CPU模型示意图 图1为冯•诺依曼结构的CPU模型示意图,系统根据“存储程序”的思想,由控制器从存储器中取出一条指令,并指出下一条指令在存储器中的位置,接着对指令进行译码,并产生相应的操作控制信号,以便启动规定的动作。比如,送数据到运算器进行相应的算术运算或逻辑运算,指挥并控制运算器、存储器和输入/输出设备之间数据流动的方向等。 (二)CPU的功能 CPU对整个计算机系统的运行是极其重要的,它具有如下4个方面的基本功能。 1. 指令控制

5、。程序的顺序控制称为指令控制。由于程序是一个指令序列,这些指令的相互顺序不能任意颠倒,必须按照程序规定的顺序进行。 2. 操作控制。一条指令的功能往往是由若干个操作信号的组合来实现的,因此,CPU管理并产生由内存取出的每条指令的操作信号,把各种操作信号送往相应的部件,从而控制这些部件按指令的要求进行动作。 3. 时间控制。对各种操作实施时间上的限定称为时间控制。在计算机中,各种指令的操作信号以及一条指令的整个执行过程都受到时间的严格限制。 4. 数据加工。数据加工就是对数据进行算术运算和逻辑运算处理。 (三)CPU的FPGA实现 1. CPU的建模 基于冯•诺依曼原理的CPU系统,

6、控制器是真正指挥CPU执行动作的核心单元。根据设计方法不同,控制器通常可以分为时序逻辑型、存储逻辑型、时序逻辑与存储逻辑结合型三种。 组合逻辑型控制器称为常规控制器或硬布线控制器,它是采用组合逻辑技术来实现的,其微操作序列形成部件是由门电路组成的复杂树形网络。其最大优点是速度快,但是微操作序列形成部件的结构不规整,使得设计、调试、维修较困难,难以实现设计自动化。一旦微操作序列形成部件构成之后,要想增加新的控制功能是不可能的。存储逻辑型控制器称为微程序控制器,它是采用存储逻辑来实现的,也就是把微操作信号代码化,使每条机器指令转化成为一段微程序并存入一个专门的存储器(控制存储器)中,微操作控制信号

7、由微指令产生。它具有设计规整、调试、维修以及更改、扩充指令方便的优点,易于实现自动化设计,已成为当前控制器的主流。组合逻辑和存储逻辑结合型控制器称为PLA(可编程逻辑阵列)控制器,是吸收前两种方法的设计思想来实现的。 因此,CPU的建模可以分成两种,一种是基于CPU电路结构的建模方法,另一种是基于CPU指令执行行为的建模方法。方法一在减少芯片面积和功耗,提高电路的工作速度方面具有优势,但需要设计者非常熟悉CPU的电路结构,设计工作量大、设计周期长,不易修改。而方法二只需要描述CPU的行为逻辑,由综合器自动生成电路网表,突破了传统的手工布线方法,降低了手工布线偶然因素的影响,设计速度块,易修改,

8、可移植性强2。 2. CPU的FPGA实现 在典型情况下,CPU完成一条指令需要3个步骤,即:取指令、指令译码和执行指令。对于冯•诺依曼结构的微处理器,由于取指令和存取数据从同一个存储空间存取,经由同一总线传输,因此,一条指令必须在上一条指令执行完成后才能被执行。指令的内容由两部分组成,即操作的性质和操作的地址。前者称为操作码,后者称为地址码。操作码定义的是具体的操作,比如加或减;地址码定义的是存储器的地址。根据操作码,这些地址指向的是一个数,或者是一个指令的地址3。 根据CPU的工作原理,用一个Moore型状态机实现指令三步骤的控制4,由CASE语句实现状态转换,即STATE=取

9、指(FETCH)、译码(DECODE)和执行(EXECUTE)。其中在执行(EXECUTE)状态中,又根据不同的译码结果,要执行不同的操作,将执行(EXECUTE)状态部分又具体分为执行加法(ADD)、执行装载(LOAD)、执行存储(STORE)等等,也就是说,随着所编写的执行(EXECUTE)操作类型的增加,状态也随之增加。总之来,把他们都归属于执行(EXECUTE)状态部分。流程可以如图2所示。由于在VHDL语言中,已有对STD_LOGIC型数进行的运算操作符,因而在编写程序时只要更改操作符,就能实现相应操作3。 本系统设计了5种常见的典型指令5,分别有: (1) 算术运算指令,ADD(加)、SUB(减); (2) 逻辑运算指令,AND(与); (3) 条件分支指令,JNEG(针对AC的判断)、JPOS(针对AC的判断); (4) 内存访问指令,LOAD(转载)、STORE(存储); (5) 跳转指令,JUMP(无条件跳转)。 表1为指令编码表,假设要执行一条加法指令ADD B,该指令对应的机器语言为0012H,从指令编码表中可以查到,00H指示了要执行的操作为加法,12H指示了操作数在12H地址单元。于是,该指令的执行结果就是将12H单元的内容与寄存器AC中的内容相加,并且将计算结果送入寄存器AC。 表1指令编码表 操作码指令操作结果 00HADDAC

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1