QuartusⅡ中宏功能模块的使用.ppt

上传人:本田雅阁 文档编号:2044057 上传时间:2019-02-08 格式:PPT 页数:17 大小:1.65MB
返回 下载 相关 举报
QuartusⅡ中宏功能模块的使用.ppt_第1页
第1页 / 共17页
QuartusⅡ中宏功能模块的使用.ppt_第2页
第2页 / 共17页
QuartusⅡ中宏功能模块的使用.ppt_第3页
第3页 / 共17页
亲,该文档总共17页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《QuartusⅡ中宏功能模块的使用.ppt》由会员分享,可在线阅读,更多相关《QuartusⅡ中宏功能模块的使用.ppt(17页珍藏版)》请在三一文库上搜索。

1、,西安邮电学院计算机系,Quartus中 宏功能模块的使用,西安邮电学院计算机系,2,2019/2/8,Quartus中宏功能模块的使用,Quartus软件针对常用的功能,提供了参数化(Parameterized)的宏功能(megafunctions)模块,通过调用宏功能模块,可以减少工作量,加快设计的进程。 用户可以通过向导工具MegaWizard Plug-In Manager调用宏功能。 该向导工具帮助用户建立或修改包含自定义宏功能模块变量的设计文件,这些设计文件可以在用户的设计中进行实例化。,西安邮电学院计算机系,3,2019/2/8,Quartus中宏功能模块的使用,在Quartus

2、软件中使用MegaWizard Plug-In Manager对宏功能模块进行实例化的步骤如下: 1 选择菜单Tools MegaWizard Plug-In Manager(图1),或直接在原理图设计文件的Symbol对话框中点击MegaWizard Plug-In Manager(图2),则弹出对话框(图3) 。,图 1,图 2,西安邮电学院计算机系,4,2019/2/8,Quartus中宏功能模块的使用,图 3,选择新建一个宏功能实例,进入下一步(图4)。,西安邮电学院计算机系,5,2019/2/8,图 4,这里列举了可供调用的宏功能模块,数学运算功能类,包括代码纠正、 浮点加/减/乘法

3、器、计数器、平方根 等功能模块。,逻辑门类功能类,包括与/或/非门 常数发生器、反相器模块等。,I/O接口功能类,包括数据收发器 锁相环、I/O缓冲模块等。,在系统调试类,包括串/并载入 SignalTap逻辑分析、虚拟JTAG 接口模块等。,寄存器类,包括各种ROM、RAM 和FIFO模块。,存储器类,包括各种参数化的锁存 器、移位寄存器模块等。,须购买的IP模块,Quartus中宏功能模块的使用,西安邮电学院计算机系,6,2019/2/8,Quartus中宏功能模块的使用,下面是一个双口RAM的例化及调用过程:,图 5,在工程目录下设 置例化的文件名,选择输出语言,选择器件,选择双口RAM

4、,西安邮电学院计算机系,7,2019/2/8,Quartus中宏功能模块的使用,图 6,选择端口模式- 分立的读写端口,选择读写模式- 按字节读写,西安邮电学院计算机系,8,2019/2/8,Quartus中宏功能模块的使用,图 7,选择存储深度,选择字宽,选择例化时调用 的资-M4K资源/ 逻辑资源(LC),西安邮电学院计算机系,9,2019/2/8,Quartus中宏功能模块的使用,图 8,选择异步 读写时钟,西安邮电学院计算机系,10,2019/2/8,Quartus中宏功能模块的使用,选择读出端是 否加D触发器,图 9,西安邮电学院计算机系,11,2019/2/8,图 10,Quart

5、us中宏功能模块的使用,选择存储空间初始 化方式及初始值,西安邮电学院计算机系,12,2019/2/8,图 11,Quartus中宏功能模块的使用,仿真与综合相关信息,西安邮电学院计算机系,13,2019/2/8,图 12,Quartus中宏功能模块的使用,选取输出的文件,.bsf 文件: 图形编辑器中使用的宏 功能模块符号 .v文件: Verilog HDL实例化的宏功能模块包装文件,完成定制过程,西安邮电学院计算机系,14,2019/2/8,Quartus中宏功能模块的使用,图 13,图形输入调用: 新建图形输入文件, 在空白区域双击左键 弹出元件选取对话框 如图,Project目录下 将

6、出现实例化 ram4k 元件供调用,西安邮电学院计算机系,15,2019/2/8,Quartus中宏功能模块的使用,也可在程序中嵌入以下语句实现调用: ram4k ram4k ( .data ( ), .rdaddress ( ), .rdclock ( ), .wraddress ( ), .wrclock ( ), .wren ( ), .q ( ) ); 这段程序将实现子程序模块的例化,图 15,图 14,西安邮电学院计算机系,16,2019/2/8,Quartus中宏功能模块的使用,Altera的Megafunction是重要的设计输入资源。由于Megafunction是基于Alter

7、a底层硬件结构最合理的成熟应用模块的表现,所以在代码中尽量使Megafunction这类IP资源,不但能将设计者从繁琐的代码编写中解脱出来,更重要的是在大多数情况下Megafunction的综合和实现结果比用户编写的代码更优。 Megafunction包括Altera的参数化模块库(LPM,library of parameterized modules),器件专有的Megafunction模块,用Altera MegaCore IP生成工具调 用的IP Core,以及Altera Megafunction计划协作者(AMPP, Altera Megafunction Parterners Program)提供的第三方IP Core。 特别是针对一些与Altera器件底层结构相关的特性,必须通过Megafunction实现,例如一些存储器模块(DPRAM、SPRAM、FIFO、CAM等),DSP模块,LVDS驱动器,PLL,高速串行收发器(SERDERS),DDR输入/输出(DDIO)等。另外一些诸如乘法器、计数器、加法器、滤波器等电路虽然也可以直接用代码描述,然后用通用逻辑资源实现,但是这种描述方法不但费时费力,在速度和面积上与Megafunction的实现结果仍然有较大的差距。,西安邮电学院计算机系,17,2019/2/8,THANK YOU !,完,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1