模电课件07第一章共射BJT小信号等效模型及参数.ppt

上传人:本田雅阁 文档编号:2137691 上传时间:2019-02-20 格式:PPT 页数:16 大小:693.01KB
返回 下载 相关 举报
模电课件07第一章共射BJT小信号等效模型及参数.ppt_第1页
第1页 / 共16页
模电课件07第一章共射BJT小信号等效模型及参数.ppt_第2页
第2页 / 共16页
模电课件07第一章共射BJT小信号等效模型及参数.ppt_第3页
第3页 / 共16页
亲,该文档总共16页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《模电课件07第一章共射BJT小信号等效模型及参数.ppt》由会员分享,可在线阅读,更多相关《模电课件07第一章共射BJT小信号等效模型及参数.ppt(16页珍藏版)》请在三一文库上搜索。

1、NPN管共射组态的放大电路,us是要放大的信号电压,称为输入电压,EBEC是直流偏置电压,RC称为集电极电阻,RC能将集电极回路中的信号电流转化成为放大以后的信号电压,1.4.4 共射BJT小信号等效模型及参数,五 共射BJT小信号等效模型及参数,IB,VBE,Vbe,ib,iB,Rb,RC,vs,EB,vCE,vBE,EC,vCE,(一)晶体管的混合型等效电路及参数,rce,c,c,rbb,e,=,=,rcc,ree,r,r,b,b,g mv1,基区复合电阻rbe,所以,(一)晶体管的混合型等效电路及参数,rce,c,c,rbb,e,=,=,rcc,ree,r,r,b,b,g mv1,集电结

2、电阻rbc,rbc很大,约在100k10M之间,=,=,(一)晶体管的混合型等效电路及参数,rce,c,c,rbb,e,=,=,rcc,ree,r,r,b,b,g mv1,(4)集射极间电阻rce,rce的值较大,通常在几十千欧姆以上,=,=,(一)晶体管的混合型等效电路及参数,rce,c,c,rbb,e,=,=,rcc,ree,r,r,b,b,g mv1,(9)BJT的跨导gm,(一)晶体管的混合型等效电路及参数,(二)简化型等效电路,低频信号,小,大,rbc反偏集电结电阻,rbcrce ,其值很大,低频简化型等效电路,六 H参数等效电路,六 H参数等效电路,hie是当BJT输出端交流短路(

3、uce=0或uCE =常量)时的输入阻抗,hie表示为:BJT的输入特性曲线在工作点上切线斜率的倒数,hre是当BJT的输入端交流开路(ib=0或iB=常量)时,输入电压ube随输出电压uce的变化之比,反映了输出回路对输入回路的影响,称为BJT的内部电压反馈系数,输出电压对输入特性曲线有基区宽调效应(early效应)。hre是一个无量纲的比例系数,其值很小,如果忽略early效应,hre=0,六 H参数等效电路,通常输出回路中的负载电阻RC (或RL)比BJT的输出电阻1hoe小得多,当负载电阻RC (或RL)较小,满足RC /RL 01hoe的条件时,可以把hoe忽略掉,六 H参数等效电路

4、,hre0,六 H参数等效电路,混合型等效电路和H参数等效电路的关系,hfe ib=gm ube= gm i b rbe,hfe=gm rbe,其中H参数的典型值为,hie= rbe =rbb+rbe =1.4 k,hre=510-4,hfe=50100,hoe=510-5 S,rce=1/ hoe , hfe=,hie = rbe =rbb+rbe = rbb+(1+)UT /IE,rbe =rbb+(1+)UT /IE,七 共射BJT的频率参数f,fT,7PN结的伏安方程为( )。该方程反映出PN结的基本特性是( )特性。此外,PN结还有( )效应和( )特性。,单向导电,电容,反向击穿,

5、8PN结电容包括( )电容和( )电容。PN结反偏时,只存在( )电容。反偏越大,该电容越( ),势垒,扩散,势垒,大,9普通Si二极管的导通电压的典型值约为( )伏,而Ge二极管导通电压的典型值约为( )伏。,0.7,0.3,10( ) 二极管的反向饱和电流远大于( ) 二极管的反向饱和电流,Si,Ge,11PN结的反向击穿分为( )击穿和( )击穿两种机理,雪崩击穿,齐纳击穿,12稳压管是利于PN结( )特性工作的二极管。,反向击穿,13变容二极管是利于PN结( )特性工作的二极管。,电容,15当发射结正偏,集电结反偏时,BJT工作在( )区,当发射结和集电结都( )时,BJT饱和;当发射结和集电结都( )时,BJT截止,放大,正偏,16放大偏置的NPN管,三电极的电位关系是( )。而放大偏置的PNP管,三电极的电位关系是( )。,27当|(f)|1时的频率称为BJT的( )。,共射特征频率,反偏,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1