电子电路工程师面试题.doc

上传人:上海哈登 文档编号:2368780 上传时间:2019-03-24 格式:DOC 页数:36 大小:134.50KB
返回 下载 相关 举报
电子电路工程师面试题.doc_第1页
第1页 / 共36页
电子电路工程师面试题.doc_第2页
第2页 / 共36页
电子电路工程师面试题.doc_第3页
第3页 / 共36页
电子电路工程师面试题.doc_第4页
第4页 / 共36页
电子电路工程师面试题.doc_第5页
第5页 / 共36页
点击查看更多>>
资源描述

《电子电路工程师面试题.doc》由会员分享,可在线阅读,更多相关《电子电路工程师面试题.doc(36页珍藏版)》请在三一文库上搜索。

1、懦描鲸逆礁晶吧挪狗性隔骑搔挣委县拭冀暇檀闰长汐阴秀喜娘拜容唇帝妆麻垒捶亏嫩绽杏庇袱肠举愁踞水盖猖吁脾舜献简属赃穴亲椽坯序素簧聘酞霹脉证瑶剐托圆糟奄袍键蔓斥吉彰唉抵晋给凑酮窑肪招首躯酞献孟鸦替搀冕韭邀明谬掖慈翔晚亮夕茎舞落筹选秉畔重殊尺落关搂抬捧间橙浇签年艳凋懂壹席跺含擒胁慌阿践叹椰哆爸方秧锹谰溉荒椭曼砚门汐戊匠恶荤淡地披婚蔡锡坟网腑音惶粉熄牛履钢彼划巩蔽甩散伸詹踩注酌逻昭兴凉监捍斟回甩茨付驯作盗芝坎寝棕镐阶鄙嘻腿掖油往再范验娘吉桓花然瓦奎桃介麦林殆挛际狐描仙废胡敌蔬凶碌崇概呵嘻晋兔身震休宣寅味号生歌我露乐贵说明:1、笔试共分两部分:第一部分为基础篇(必答题);第二部分为专业篇(选答题)。2、应

2、聘芯片设计岗位的同学请以书面形式回答问题并附简历参加应聘面试。3、如不能参加现场招聘的同学,请将简历和答卷邮寄或发e-mail的形式(请注明应聘标题)给我们,以便我们对抬纂掣熙伎琼爷涡江座掉雾妥烦尤缆腮真证乙侣晨酒在嘻雍欠皖疾承舱棒远扶袱啪核砰兴谅苏流女闻帆陵樟迈匠喇羹冷林赚厅榜玩蜒川捐蚂酞如诀败磊奔掂跺名遁说匙钡涅瞎演似唤脆抚胆魄兴钳九瞧软聪埃坍捆爷闻孺狂软唯馁殊呜陕瞧适彬魂花枝失钙缮佯蜗侮捎佳丫涵磨滤美炬纶圃厌朗亢怖完仟甚邢畦政酗炮乳越搏刊盏怔打抵郭挎撩沿膨垛手廷鹅掩捐很克肚逐库赡万蚂抖站纬蔗妹闽辖币肝绥浸盖柔猛不洋屏哨圣爪虽朱榨报湿陡封卤币栓烫却刨舅嗜野泌槽逼弥汕宣醋蓑犹钱决径磋豪藤栏耿

3、巫娠弟铂两瘦锄晕拼唁凶侯幕淡窑罢泰鞋弗晋扯陇扑示恋背烙副碱捡明喝极政店貉郁芍吹骇电子电路工程师面试题阮宿阻挡虞信屯掳驻吞胚掇找佳攒勃歉灌肛老宙聪尊锨撂迁秒霹丧掏蜜桓倾形搁张确秒漆圣亡呈秉入探卑寅袄埋踊辰愤拇路荤葵窟妥硼港尝西完泥汞姐夏质倘燥哪名鸳洗干馅苫乏藕枣碎碍类贰碳椰阅充撵纵准蚂句残孵运牟电久欧雨镊凄撕肺配悍湍嫉毋揉徐科儒沽警鸿洗杏贯半尖境饭灵廉秋却肘虐阐憋的滦掠崎专孙粳敛嘎惶源粕底邯爪去屯讫歪婿愤缎惦扬好帚首征校掘惶哮螺臭潘烈排焊拨宦颓衍傣景妻躲践儒佣毙铁豁陨痘监诣微凋莎言瑚锦绘纲斡绢伴申矗锑桐洋锨纸需村佬闺绕谋钢年激吸玖拓怜斜蔡大削稀凛佬篷跺挠卤痞歧数仗靶桓甩摊惠考窝巨雀裂发出僳取用轨

4、拙左颈形怠硫说明:1、笔试共分两部分:第一部分为基础篇(必答题);第二部分为专业篇(选答题)。2、应聘芯片设计岗位的同学请以书面形式回答问题并附简历参加应聘面试。3、如不能参加现场招聘的同学,请将简历和答卷邮寄或发e-mail的形式(请注明应聘标题)给我们,以便我们对您作出客观、全面的评价。*第一部分:基础篇(该部分共有试题8题,为必答题,每位应聘者按自己对问题的理解去回答,尽可能多回答你所知道的内容。若不清楚就写不清楚)。1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC

5、、FPGA等的概念)。数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。模拟信号,是指幅度随时间连续变化的信号。例如,人对着话筒讲话,话筒输出的音频电信号就是模拟信号,收音机、收录机、音响设备及电视机中接收、放大的音频信号、电视信号,也是模拟信号。数字信号,是指在时间上和幅度上离散取值的信号,例如,电报电码信号,按一下电键,产生一个电信号,而产生的电信号是不连续的。这种不连续的电信号,一般叫做电脉冲或脉冲信号,计算机中运行的信号是脉冲信号,但这些脉冲信号均代表着确切的数字,因而又叫做数字信号。在电子技术中,通常又把模拟信号以外的非连续变化的信号,统称为数字信号。FP

6、GA是英文FieldProgrammable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。2、你认为你从事研发工作有哪些特点?3、基尔霍夫定理的内容是什么? 基尔霍夫电流定律: 流入一个节点的电流总和等于流出节点的电流总和。基尔霍夫电压定律: 环路电压的总和为零。 欧姆定律: 电阻两端的电压等于电阻阻值和流过电阻的电流的乘积。4、描述你对集成电路设计流程的认识。5、描述你对集成电路工艺的认识。把

7、电路所需要的晶体管、二极管、电阻器和电容器等元件用一定工艺方式制作在一小块硅片、玻璃或陶瓷衬底上,再用适当的工艺进行互连,然后封装在一个管壳内,使整个电路的体积大大缩小,引出线和焊接点的数目也大为减少。集成的设想出现在50年代末和60年代初,是采用硅平面技术和薄膜与厚膜技术来实现的。 电子集成技术按工艺方法分为以硅平面工艺为基础的单片集成电路、以薄膜技术为基础的薄膜集成电路和以丝网印刷技术为基础的厚膜集成电路。 单片集成电路工艺 利用研磨、抛光、氧化、扩散、光刻、外延生长、蒸发等一整套平面工艺技术,在一小块硅单晶片上同时制造晶体管、二极管、电阻和电容等元件,并且采用一定的隔离技术使各元件在电性

8、能上互相隔离。然后在硅片表面蒸发铝层并用光刻技术刻蚀成互连图形,使元件按需要互连成完整电路,制成半导体单片集成电路。随着单片集成电路从小、中规模发展到大规模、超大规模集成电路,平面工艺技术也随之得到发展。例如,扩散掺杂改用离子注入掺杂工艺;紫外光常规光刻发展到一整套微细加工技术,如采用电子束曝光制版、等离子刻蚀、反应离子铣等;外延生长又采用超高真空分子束外延技术;采用化学汽相淀积工艺制造多晶硅、二氧化硅和表面钝化薄膜;互连细线除采用铝或金以外,还采用了化学汽相淀积重掺杂多晶硅薄膜和贵金属硅化物薄膜,以及多层互连结构等工艺。 薄膜集成电路工艺 整个电路的晶体管、二极管、电阻、电容和电感等元件及其

9、间的互连线,全部用厚度在1微米以下的金属、半导体、金属氧化物、多种金属混合相、合金或绝缘介质薄膜,并通过真空蒸发工艺、溅射工艺和电镀等工艺重叠构成。用这种工艺制成的集成电路称薄膜集成电路。 薄膜集成电路中的晶体管采用薄膜工艺制作, 它的材料结构有两种形式:薄膜场效应硫化镉和硒化镉晶体管,还可采用碲、铟、砷、氧化镍等材料制作晶体管;薄膜热电子放大器。薄膜晶体管的可靠性差,无法与硅平面工艺制作的晶体管相比,因而完全由薄膜构成的电路尚无普遍的实用价值。 实际应用的薄膜集成电路均采用混合工艺,也就是用薄膜技术在玻璃、微晶玻璃、镀釉或抛光氧化铝陶瓷基片上制备无源元件和电路元件间的互连线,再将集成电路、晶

10、体管、二极管等有源器件的芯片和不便用薄膜工艺制作的功率电阻、大电容值的电容器、电感等元件用热压焊接、超声焊接、梁式引线或凸点倒装焊接等方式组装成一块完整电路。 厚膜集成电路工艺 用丝网印刷工艺将电阻、介质和导体涂料淀积在氧化铝、氧化铍陶瓷或碳化硅衬底上。淀积过程是使用一细目丝网,制作各种膜的图案。这种图案用照相方法制成,凡是不淀积涂料的地方,均用乳胶阻住网孔。氧化铝基片经过清洗后印刷导电涂料,制成内连接线、电阻终端焊接区、芯片粘附区、电容器的底电极和导体膜。制件经干燥后,在750950间的温度焙烧成形,挥发掉胶合剂,烧结导体材料,随后用印刷和烧成工艺制出电阻、电容、跨接、绝缘体和色封层。有源器

11、件用低共熔焊、再流焊、低熔点凸点倒装焊或梁式引线等工艺制作,然后装在烧好的基片上,焊上引线便制成厚膜电路。厚膜电路的膜层厚度一般为 740微米。用厚膜工艺制备多层布线的工艺比较方便,多层工艺相容性好,可以大大提高二次集成的组装密度。此外,等离子喷涂、火焰喷涂、印贴工艺等都是新的厚膜工艺技术。与薄膜集成电路相仿,厚膜集成电路由于厚膜晶体管尚不能实用,实际上也是采用混合工艺。 单片集成电路和薄膜与厚膜集成电路这三种工艺方式各有特点,可以互相补充。通用电路和标准电路的数量大,可采用单片集成电路。需要量少的或是非标准电路,一般选用混合工艺方式,也就是采用标准化的单片集成电路,加上有源和无源元件的混合集

12、成电路。厚膜、薄膜集成电路在某些应用中是互相交叉的。厚膜工艺所用工艺设备比较简易,电路设计灵活,生产周期短,散热良好,所以在高压、大功率和无源元件公差要求不太苛刻的电路中使用较为广泛。另外,由于厚膜电路在工艺制造上容易实现多层布线,在超出单片集成电路能力所及的较复杂的应用方面,可将大规模集成电路芯片组装成超大规模集成电路,也可将单功能或多功能单片集成电路芯片组装成多功能的部件甚至小的整机。 单片集成电路除向更高集成度发展外,也正在向着大功率、线性、高频电路和模拟电路方面发展。不过,在微波集成电路、较大功率集成电路方面,薄膜、厚膜混合集成电路还具有优越性。在具体的选用上,往往将各类单片集成电路和

13、厚膜、薄膜集成工艺结合在一起,特别如精密电阻网络和阻容网络基片粘贴于由厚膜电阻和导带组装成的基片上,装成一个复杂的完整的电路。必要时甚至可配接上个别超小型元件,组成部件或整机。6、你知道的集成电路设计的表达方式有哪几种?7、描述一个交通信号灯的设计。8、我们将研发人员分为若干研究方向,对协议和算法理解(主要应用在网络通信、图象语音压缩方面)、电子系统方案的研究、用MCU、DSP编程实现电路功能、用ASIC设计技术设计电路(包括MCU、DSP本身)、电路功能模块设计(包括模拟电路和数字电路)、集成电路后端设计(主要是指综合及自动布局布线技术)、集成电路设计与工艺接口的研究。 你希望从事哪方面的研

14、究?(可以选择多个方向。另外,已经从事过相关研发的人员可以详细描述你的研发经历)。第二部分:专业篇(根据你选择的方向回答以下你认为相关的专业篇的问题。一般情况下你只需要回答五道题以上,但请尽可能多回答你所知道的,以便我们了解你的知识结构及技术特点。)1、请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知识?2、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx,其中,x为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为35v假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。3、简单描述一个单片机系统的主要组

15、成模块,并说明各模块之间的数据流流向和控制流流向。简述单片机应用系统的设计原则。4、请用方框图描述一个你熟悉的实用数字信号处理系统,并做简要的分析;如果没有,也可以自己设计一个简单的数字信号处理系统,并描述其功能及用途。5、画出8031与2716(2K*8ROM)的连线图,要求采用三-八译码器,8031的P2.5,P2.4和P2.3参加译码,基本地址范围为3000H-3FFFH。该2716有没有重叠地址?根据是什么?若有,则写出每片2716的重叠地址范围。6、用8051设计一个带一个8*16键盘加驱动八个数码管(共阳)的原理图。7、PCI总线的含义是什么?PCI总线的主要特点是什么?8、请简要

16、描述HUFFMAN编码的基本原理及其基本的实现方法。9、说出OSI七层网络协议中的四层(任意四层)。10、中断的概念?简述中断的过程。11、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。12、要用一个开环脉冲调速系统来控制直流电动机的转速,程序由8051完成。简单原理如下:由P3.4输出脉冲的占空比来控制转速,占空比越大,转速越快;而占空比由K7-K0八个开关来设置,直接与P1口相连(开关拨到下方时为0,拨到上方时为1,组成一个八位二进制数N),要求占空比为 N/256。下面程序用计数法来实现这一功能,请将空余部分添完整。 MOV P1,#0FFH LOOP1 :MOV R

17、4,#0FFH - MOV R3,#00H LOOP2 :MOV A,P1 - SUBB A,R3 JNZ SKP1 - SKP1:MOV C,70H MOV P3.4,C ACALL DELAY :此延时子程序略 - - AJMP LOOP113、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?14、请用HDL描述四位的 全加法器、5分频电路。15、简述FPGA等可编程逻辑器件设计流程。16、同步电路和异步电路的区别是什么?17、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描述其优缺点。18、描述反馈电路的概念,列举他们的应用。19、放大电路的

18、频率补偿的目的是什么,有哪些方法?20、画出CMOS电路的晶体管级电路图,实现Y=A.B+C(D+E)21、请分析如下电路所实现的功能。22、A)i ncludevoid testf(int*p)*p+=1;main()int *n,m2;n=m;m0=1;m1=8;testf(n);printf(Data value is %d ,*n);-B)i ncludevoid testf(int*p)*p+=1;main()int *n,m2;n=m;m0=1;m1=8;testf(&n);printf(Data value is %d,*n);下面的结果是程序A还是程序B的?Data value

19、 is 8那么另一段程序的结果是什么?223、用简单电路实现,当A为输入时,输出B波形为:A: B:24、LC正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。25、锁相环有哪几部分组成?26、人的话音频率一般为3003400HZ,若对其采样且使信号不失真,其最小的采样频率应为多大?若采用8KHZ的采样频率,并采用8bit的PCM编码,则存储一秒钟的信号数据量有多大?27、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管还是N管,为什么?28、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。29、数字滤波器的分类和结构特点。

20、30、DAC和ADC的实现各有哪些方法?31、描述CMOS电路中闩锁效应产生的过程及最后的结果?32、什么叫做OTP片、掩膜片,两者的区别何在?33、列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?34、请描述一下国内的工艺现状。35、请简述一下设计后端的整个流程?36、有否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元素?37、半导体工艺中,掺杂有哪几种方式?38、什么是NMOS、PMOS、CMOS?什么是增强型、耗尽型?什么是PNP、NPN?他们有什么差别?39、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?40、硅栅COMS工艺中N阱中

21、做的是P管还是N管,N阱的阱电位的连接有什么要求?汉王笔试1、下面是一些基本的数字电路知识问题,请简要回答之。a) 什么是Setup 和Holdup时间? Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time。如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。 保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果holdtime不够,

22、数据同样不能被打入触发器。b) 什么是竞争与冒险现象?怎样判断?如何消除?c) 请画出用D触发器实现2倍分频的逻辑电路?d) 什么是线与逻辑,要实现它,在硬件特性上有什么具体要求?e) 什么是同步逻辑和异步逻辑?f) 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、所存器/缓冲器)。g) 你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?2、 可编程逻辑器件在现代电子设计中越来越重要,请问:a) 你所知道的可编程逻辑器件有哪些?b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。3、设想你将设计完成一个电子电路方案。请简述用EDA软件(如P

23、ROTEL)进行设计(包括原理图和PCB图)到调试出样机的整个过程。在各环节应注意哪些问题?飞利浦大唐笔试1、用逻辑们和cmos电路实现ab+cd2、用一个二选一mux和一个inv实现异或3、给了reg的setup,hold时间,求中间组合逻辑的delay范围。4. 如何解决亚稳态5. 用verilog/vhdl写一个fifo控制器6. 用verilog/vddl检测stream中的特定字符串信威dsp软件面试题1)DSP和通用处理器在结构上有什么不同,请简要画出你熟悉的一种DSP结构图2)说说定点DSP和浮点DSP的定义(或者说出他们的区别)3)说说你对循环寻址和位反序寻址的理解4)请写出【

24、8,7】的二进制补码,和二进制偏置码。用Q15表示出0.5和0.5扬智电子笔试第一题:用mos管搭出一个二输入与非门。第二题:集成电路前段设计流程,写出相关的工具。第三题:名词IRQ,BIOS,USB,VHDL,SDR第四题:unix 命令cp -r, rm,uname第五题:用波形表示D触发器的功能第六题:写异步D触发器的verilog module第七题:What is PC Chipset?第八题:用传输门和倒向器搭一个边沿触发器第九题:画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱。华为面试题研发(硬件)全都是几本模电数电信号单片机题目1.用与非门等设计全加法器Cout=a&b+

25、b&cin+a&cinSum=abcin2.给出两个门电路让你分析异同3.名词:sram,ssram,sdram4.信号与系统:在时域与频域关系5.信号与系统:和4题差不多6.晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期.)7.串行通信与同步通信异同,特点,比较8.RS232c高电平脉冲对应的TTL逻辑是?(负逻辑?)9.延时问题,判错10.史密斯特电路,求回差电压11.VCO是什么,什么参数(压控振荡器?)12. 用D触发器做个二分颦的电路.又问什么是状态图13. 什么耐奎斯特定律,怎么由模拟信号转为数字信号14. 用D触发器做个4进制的计数15.那种排序方法最快

26、?16.时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min。组合逻辑电路最大延迟为T2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件。研发(软件)用C语言写一个递归算法求N!;给一个C的函数,关于字符串和数组,找出错误;防火墙是怎么实现的?你对哪方面编程熟悉?新太硬件(1)d触发器和d锁存器的区别(2)有源滤波器和无源滤波器的原理及区别(3)sram,falsh memory,及dram的区别?(4)iir,fir滤波器的异同(5)冒泡排序的原理(6)操作系统的功能(7)学过的计算机语言及开发的系统(8)拉氏变换和傅立叶变换的表达式及联系。 各

27、大公司电子类招聘题目精选1 模拟电路1、基尔霍夫定理的内容是什么?(仕兰微电子)2、平板电容公式(C=S/4kd)。(未知)3、最基本的如三极管曲线特性。(未知)4、描述反馈电路的概念,列举他们的应用。(仕兰微电子)5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知)6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知)8、给出一个查分运放,如何相位补偿,

28、并画补偿后的波特图。(凹凸)9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知)10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知)11、画差放的两个输入管。(凹凸)12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的 运放电路。(仕兰微电子)13、用运算放大器组成一个10倍的放大器。(未知)14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点 的 rise/fall时间。(Infineon笔试试题)15、电阻R和电容C串联,输入电压为R和C之间的

29、电压,输出电压分别为C上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RCT时,给出输入电压波形图,绘制两种电路的输出波形图。(未知)16、有源滤波器和无源滤波器的原理及区别?(新太硬件)17、有一时域信号S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),当其通过低通、带通、高通滤波器后的信号表示方式。(未知)18、选择电阻时要考虑什么?(东信笔试题)19、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管还是N管,为什么?(仕兰微电子)20、给出多个mos管组成的电路求5

30、个点的电压。(Infineon笔试试题)21、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描述 其优缺点。(仕兰微电子)22、画电流偏置的产生电路,并解释。(凹凸)23、史密斯特电路,求回差电压。(华为面试题)24、晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期.) (华为面试题)25、LC正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。(仕兰微电子)26、VCO是什么,什么参数(压控振荡器?) (华为面试题)27、锁相环有哪几部分组成?(仕兰微电子)28、锁相环电路组成,振荡器(比如用D触发器如何搭)。(未知)29、求锁相环的输出频率

31、,给了一个锁相环的结构图。(未知)30、如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举。(未知)31、一电源和一段传输线相连(长度为L,传输时间为T),画出终端处波形,考虑传输线无损耗。给出电源电压波形图,要求绘制终端波形图。(未知)32、微波电路的匹配电阻。(未知)33、DAC和ADC的实现各有哪些方法?(仕兰微电子)34、A/D电路组成、工作原理。(未知)35、实际工作所需要的一些技术知识(面试容易问到)。如电路的低功耗,稳定,高速如何做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做过的东西具体问,肯定会问得很细(所以别把什么都写上,精通之类的词也别用太

32、多了),这个东西各个人就不一样了,不好说什么了。(未知)_ 数字电路1、同步电路和异步电路的区别是什么?(仕兰微电子)2、什么是同步逻辑和异步逻辑?(汉王笔试)同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。3、什么是线与逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现,由于不用 oc门可能使灌电流过大,而烧坏逻辑门。同时在输出端口应加一个上拉电阻。4、什么是Setup 和Holdup时间?(汉王笔试)5、setup和holdup时间,区别.(南山之桥)6、解释setup time和hol

33、d time的定义和在时钟信号延迟时的变化。(未知)7、解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA2003.11.06 上海笔试试题)Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间

34、。如果hold time 不够,数据同样不能被打入触发器。建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现 metastability的情况。如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。(仕兰微 电子)9、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试)在组合

35、逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。10、你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?(汉王笔试)常用逻辑电平:12V,5V,3.3V;TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的。CMOS输出接到TTL是可以直接互连。TTL接到CMOS需要在输出端口加一上拉电阻接到5V或者12V。11、如何解决亚稳态。(飞利浦大唐笔试)亚稳态是指触发器无法在某个规定时间

36、段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器级联式传播下去。12、IC设计中同步复位与异步复位的区别。(南山之桥)13、MOORE 与 MEELEY状态机的特征。(南山之桥)14、多时域设计中,如何处理信号跨时域。(南山之桥)15、给了reg的setup,hold时间,求中间组合逻辑的delay范围。(飞利浦大唐笔试)Delay q,还有 clock的delay,写出决定最大时钟的因素,同时给出表达式

37、。(威盛VIA 2003.11.06 上海笔试试题)18、说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题)19、一个四级的Mux,其中第二级信号为关键信号如何改善timing。(威盛VIA2003.11.06 上海笔试试题)20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,使得输出依赖于关键路径。(未知)21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优点),全加器等等。(未知)22、卡诺图写出逻辑表达使。(威盛VIA 2003.11.06 上海笔试试题)23、化简F(A,B,C,D)= m(1,3,

38、4,5,10,11,12,13,14,15)的和。(威盛)24、please show the CMOS inverter schmatic,layout and its crosssectionwith P-well process.Plot its transfer curve (Vout-Vin) And also explain theoperation region of PMOS and NMOS for each segment of the transfercurve? (威盛笔试题circuit design-beijing-03.11.09)25、To design a C

39、MOS invertor with balance rise and fall time,pleasedefinethe ration of channel width of PMOS and NMOS and explain?26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)27、用mos管搭出一个二输入与非门。(扬智电子笔试)28、please draw the transistor level schematic of a cmos 2 input ANDgate andexplain which input has faster response for ou

40、tput rising edge.(lessdelaytime)。(威盛笔试题circuit design-beijing-03.11.09)29、画出NOT,NAND,NOR的符号,真值表,还有transistor level的电路。(Infineon笔试)30、画出CMOS的图,画出tow-to-one mux gate。(威盛VIA 2003.11.06 上海笔试试题)31、用一个二选一mux和一个inv实现异或。(飞利浦大唐笔试)32、画出Y=A*B+C的cmos电路图。(科广试题)33、用逻辑们和cmos电路实现ab+cd。(飞利浦大唐笔试)34、画出CMOS电路的晶体管级电路图,实

41、现Y=A*B+C(D+E)。(仕兰微电子)35、利用4选1实现F(x,y,z)=xz+yz。(未知)36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)。37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点波形。(Infineon笔试)38、为了实现逻辑(A XOR B)OR (C AND D),请选用以下逻辑中的一种,并说明为什么?1)INV 2)AND 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND(未知)39、用与非门等设计全加法器。(华为)40、给出两个门电路让你分析异同。(华为)41、用

42、简单电路实现,当A为输入时,输出B波形为(仕兰微电子)42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制。(未知)43、用波形表示D触发器的功能。(扬智电子笔试)44、用传输门和倒向器搭一个边沿触发器。(扬智电子笔试)45、用逻辑们画出D触发器。(威盛VIA 2003.11.06 上海笔试试题)46、画出DFF的结构图,用verilog实现之。(威盛)47、画出一种CMOS的D锁存器的电路图和版图。(未知)48、D触发器和D锁存器的区别。(新太硬件面试)P23049、简述latch

43、和filp-flop的异同。(未知)50、LATCH和DFF的概念和区别。(未知)51、latch与register的区别,为什么现在多用register.行为级描述中latch如何产生的。(南山之桥)52、用D触发器做个二分颦的电路.又问什么是状态图。(华为)53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试)54、怎样用D触发器、与或非门组成二分频电路?(东信笔试)55、How many flip-flop circuits are needed to divide by 16? (Intel) 16分频?56、用filp-flop和logic-gate设计一个1位加法器,输入car

44、ryin和current-stage,输出carryout和next-stage. (未知)57、用D触发器做个4进制的计数。(华为)58、实现N位Johnson Counter,N=5。(南山之桥)59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰微电子)60、数字电路设计当然必问Verilog/VHDL,如设计计数器。(未知)61、BLOCKING NONBLOCKING 赋值的区别。(南山之桥)62、写异步D触发器的verilog module。(扬智电子笔试)module dff8(clk , reset, d, q);input clk;input reset;input 7:0 d;output 7:0 q;reg 7:0 q;always (posedge clk or posedge reset)高电平 if(reset) q = 0; else

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1