第讲VHDL标识符数据.ppt

上传人:本田雅阁 文档编号:2586176 上传时间:2019-04-13 格式:PPT 页数:10 大小:275.51KB
返回 下载 相关 举报
第讲VHDL标识符数据.ppt_第1页
第1页 / 共10页
第讲VHDL标识符数据.ppt_第2页
第2页 / 共10页
第讲VHDL标识符数据.ppt_第3页
第3页 / 共10页
亲,该文档总共10页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《第讲VHDL标识符数据.ppt》由会员分享,可在线阅读,更多相关《第讲VHDL标识符数据.ppt(10页珍藏版)》请在三一文库上搜索。

第十一讲 VHDL的标识符,数据类型和操作符,标识符,数据类型和操作符,标识符 基本标识符:以字母开始,不可以用 “_”结束 对大小写不敏感 数据对象 信号(Signal) 常数(Constant) 变量(Variable) 文件(File),VHDL的标准数据类型,VHDL的标准数据类型,枚举数据类型(Enumerated data types)主要用于建立计算系统的模型,例如: type instr_opcode is (add, sub, xor, nor, beq, lw, sw); type state is (empty, half_full, half_empty, empty); 阵列类型(Array types) type byte is array (7 downto 0) of std_logic; type word is array (31 downto 0) of std_logic; type memory is array (0 to 4095) of word;,物理数据类型,物理数据类型,物理数据类型,物理数据类型,用物理数据类型进行VHDL描述,对新的数据类型,采用packages来封装类型定义,针对该数据类型的类型转换函数和算术函数 应用举例: 功耗的描述 芯片面积的描述 对“累加”型的物理量进行描述,VHDL操作符,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1